资源预览内容
第1页 / 共23页
第2页 / 共23页
第3页 / 共23页
第4页 / 共23页
第5页 / 共23页
第6页 / 共23页
第7页 / 共23页
第8页 / 共23页
第9页 / 共23页
第10页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
郑州轻工业学院电子技术课程设计 题 目 _基于FPGA的计数器设计_ _ 学生姓名 _ XXX_ 专业班级 _电子信息工程10-01班_ 学 号 _5401001030XXX_ 院 (系) 电气信息工程学院_ _ 指导教师 _杜海明 耿鑫_ 完成时间 2013年06月22日_ 郑州轻工业学院 课 程 设 计 任 务 书题目 基于FPGA的计数器的程序设设计_ 专业、班级 电子信息工程 学号 姓名 _ 主要内容、基本要求、主要参考资料等:主要内容:要求学生使用硬件描述语言(Verilog 或者VHDL)设计基于FPGA的计数器的源程序。实现如下功能:显示1个0-9999的四位计数器;四位七段数码管的译码与显示。理解数码管的译码原理,同时需要做一个分频器,理解时钟分频的原理及意义。基本要求:1、学会quartusII的使用,掌握FPGA 的程序设计方法。2、掌握硬件描述语言语法。 3、程序设计完成后要求在quartusII中实现功能仿真。主要参考资料:1、褚振勇. FPGA设计及应用(第三版)M.西安电子科技大学出版社.2012,42、陈怀琛.MATLAB及在电子信息课程中的应用M.北京:电子工业出版社.2008,1完 成 期 限: 2013.6.212013.6.25 指导教师签名: _ 课程负责人签名: _ 2013年 6月 18日基于FPGA的计数器程序设计目 录基于FPGA的计数器的程序设设计i摘 要i1 绪论11.1 FPGA简介11.2 硬件描述语言VHDL特点11.3 软件开发工具Quartus II 简介22 整体设计方案33 各个模块的设计和功能的具体分析43.1 分频器设计43.1.1 设计原理43.1.2 源程序及其仿真波形43.1.3 RTL电路图63.2 计数器设计63.2.1 计数器及其应用63.2.2 计数器源程序及其仿真73.3 锁存器设计93.3.1 锁存器及其应用93.3.2 16位锁存器源程序及其仿真波形93.4 显示部分设计103.4.1 七段数码管显示原理103.4.2 七段数码管源程序及其仿真114 计数器顶层设计134.1.1 基于VHDL的自顶向下的设计方法134.3.2顶层设计源文件及其仿真波形13总 结16参考文献17附 录1818基于FPGA的计数器的程序设设计摘 要 本文介绍了一种基于FPGA的,由顶层到底层设计的数字频率计。本文主要包括该频率计的设计基础和实现方法以及译码与显示等内容, 描述了它的设计平台、工作原理和软硬件实现。本设计主要有分频器、四位计数器、16位锁存器以及数码管显示电路。计数器设计采用VHDL硬件描述语言编程,极大地减少了硬件资源的占用,仿真与分析结果表明,该数字频率计性能优异,软件设计语言灵活,硬件简单,速度快。关键词 FPGA 计数器 VHDL1 绪论1.1 FPGA简介FPGA(FieldProgrmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 自1985 年Xilinx 公司推出第一片中大规模现场可编程逻辑器件(FP2GA) 至今,FPGA 已经历了十几年的历。在这十几年的过程中,可编程器件有了惊人的发展:从最初的1200 个可利用门,到今天的25 万可利用门,规模增大了200 多倍; FPGA 供应商也从Xilinx 的一枝独秀,到今天近20 个厂商的分庭抗争;FPGA 从单一的基于SRAM结构到今天各种结构类型的出现,都充分体现了可编程器件这一巨大市场的吸引力。FPGA 不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低。由于目前电子产品生命周期相对缩短,相近功能产品的派生设计增多等特点,促使FPGA 越来越多地取代了ASIC 的市场,特别是对国内众多的科研单位来说,小批量、多品种的产品需求,使得FPGA 成为首选。1.2 硬件描述语言VHDL特点 功能强大、设计灵活。VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。支持广泛、易于修改。由于VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。强大的系统硬件描述能力。VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。独立于器件的设计、与工艺无关。设计人员用VHDL进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。很强的移植能力。VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。1.3 软件开发工具Quartus II 简介Altera的Quartus II 设计软件提供了完整的多平台设计环境,它可以轻易满足各种特定设计的需要,也是单芯片可编程系统 (SOPC) 设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。Quartus II设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。Quartus II 包括模块化的编译器。编译器包括的功能模块有分析/综合器(AnalysisSynthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)等。可以通过选择 Start Compilation (Processing 菜单)来运行所有的编译器模块。 若要单独运行各个模块,可以通过选择 Start(Processing 菜单),然后从 Start 子菜单中为模块选择相应的指令。此外,还可以通过选择 Compiler Tool (Tools 菜单)并在 Compiler Tool窗口中运行该模块来启动编译器模块。 在 Compiler Tool 窗口中,可以打开该模块的设置文件或报告文件,还可以打开其它相关窗口。Quartus II支持层次化的设计,可以在一个新的编辑输入环境中对使用不同输入设计方式完成的模块进行调试,从而解决原理图与HDL混合输入设计的问题。在设计输入之后,Quartus II的编译器将给出设计输入的错误报告。可以使用Quartus II带有的RTL Viewer观察综合后的RTL图。Quartus II自动设计的各主要处理环节和设计流程,包括设计输入编辑、设计分析与综合、适配、编译文件汇编(装配)、时序参数提取以及编程下载几个步骤。2 整体设计方案设计基于FPGA的计数器,要求显示1个0-9999的四位计数器,可以由4个模为10的十进制计数器级联而成,所以可以显示的频率范围是1-9999HZ。因此,频率计的功能分割成四个模块:分频计,计数器,输出锁存器和译码显示电路。各个模块均用VHDL语言描述并用quartus2进行仿真。本设计采用数控分频计,可以对信号实现不同分频比,输出信号作为计数器输入。锁存器由一个外部脉冲控制可以控制显示部分显示周期。当系统正常工作时,输入信号标提供频率,经过分频器分频,产生计数信号送入计数器模块,计数模块对输入的脉冲个数进行计数数结束后,将计数结果送入锁存器中,保证系统可以稳定显示数据,计数结果能够显示在七段数码显示管上。clk11计数器分频计锁存器显示电路ena0rest0clk2 图1 设计方案 3 各个模块的设计和功能的具体分析3.1 分频器设计3.1.1 设计原理分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换数字分频数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。数控分频器的功能是在输入端给定不同数据时,将对输入的时钟信号有不同的分频比,对于一个N分频器,分频出的时钟周期是原时钟周期的N倍,频率变为原来的1/N。对于一个8位计数器,如果输入数DD,然后启动计数器工作,则经过D倍的时钟周期计数器溢出时,输出full变为高电平,再以full为敏感信号,对fout进行取反操作,如此N=2D。计数一次后,再重新计数,反复进行直至输入被赋予新值。对于数控分频数器,装载不同的计数初始值时,会有不同频率的溢出信号,从而得到不同的输出。数控分频器是利用
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号