资源预览内容
第1页 / 共1页
亲,该文档总共1页全部预览完了,如果喜欢就下载吧!
资源描述
LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count60 ISPORT(en,clk: IN STD_LOGIC; qa: out STD_LOGIC_VECTOR(3 DOWNTO 0); -个位数计数 qb: out STD_LOGIC_VECTOR(2 DOWNTO 0); -十位数计数END count60;ARCHITECTURE a1 OF count60 ISBEGINprocess(clk)variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);variable tmb: STD_LOGIC_VECTOR(2 DOWNTO 0);begin if clkevent and clk=1 then if en=1 then if tmb=101 and tma=1001 then tma:=0000; tmb:=000; Elsif tma=1001 then tma:=0000;tmb:=tmb+1; else tma:=tma+1; end if; end if; end if; qa=tma; qb=tmb; end process;END a1;
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号