资源预览内容
第1页 / 共20页
第2页 / 共20页
第3页 / 共20页
第4页 / 共20页
第5页 / 共20页
第6页 / 共20页
第7页 / 共20页
第8页 / 共20页
第9页 / 共20页
第10页 / 共20页
亲,该文档总共20页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
西安邮电大学FPGA课程设计报告题 目:出租车简易计价器设计 院 系: 电子工程学院 专业班级: 微电子0901 学生姓名: 导师姓名: 黄海生 起止时间: 2010.06.18 至 2012.06.29 2012年07 月01 日基于FPGA的出租车简易计价器设计一功能要求:设计一个出租车简易计价器,用FPGA开发板的按键手动来控制出租车的状态,即行驶或等待,数码管显示当前的行驶里程,等待时间以及总共的费用。二.功能描述:(1)异步复位,复位信号与时钟信号不同步。(2)基准时钟(50MHZ)由原来的每秒跳变50M下分成每秒跳变3下,即便于人眼的观察,设计5秒钟里程变化一公里,6秒钟时间等待为一分钟。(3)用一个拨码开关来控制当前的状态,如果是高电平1则开始计里程,如果是低电平0则开始计等待时间。(4)用7个7段数码管来显示当前的里程,等待时间以及最后的费用。(5)手动控制计费系统,当一位客人下车而另一位客人上车则按复位键清0,使得数码管只显示起始价8元。三系统描述:(1)系统结构:(2)输入输出信号描述信号输入/输出目标/源功能描述clkinputpin系统时钟(50MHZ)resetinputpin异步清零,低电平有效startinputpin状态选择,1时计里程,0时计等待时间hex0inputpin显示里程的十位上的数字hex1inputpin显示里程个位上的数字hex2outputpin显示计时十位上的数字hex4outputpin显示计时个位上的数字hex5 output pin显示计费百位上的数字hex6 output pin显示计费十位上的数字hex7 output pin显示计费个位上的数字(3)顶层划分(4)设计思想: 计价器功能要求:出租车起步价为8元,行驶过程中每公里1元,中途停止等待时间累计大于三分钟后按每两分钟1元计价。计价系统里程显示范围为:099公里,分辨率1公里。计价费用显示范围为:0999元,分辨率1元。等待计时器显示范围为:060分钟,分辨率1分钟。 计价系统原理:出租车载客后,启动计费器,整个系统开始运行,里程计数器和时间计数器从0开始计数,费用计数器从8开始计算。再根据行驶里程或停止等待的时间按以上的标准计费。若在行驶状态,则计程器开始加计数,每公里1元。若出租车停止等待状态,则计时器开始加计数,当时间超过三分钟后,计费器以每分钟1元累加。出租车到达目的地停止计费器,显示总费用。根据出租车计费器的工作过程,本系统采用分层次、分模块的方式设计,其本系统组成框图如下所示。其中行驶路程计数模块、等待时间计数模块和计费模块,用来统计路程、等待时间和总费用,控制模块是用来控制计费模块,数码管显示模块用来显示行驶的公里数、等待累计时间和总费用等信息。四模块代码 分频模块:module fenpin(clk,reset,clk_1); input clk,reset; output clk_1; reg clk_1; reg 29:0 count; always(posedge clk,negedge reset) begin if(!reset) begin count=0; clk_1=1b0; end else if(count=25000000) begin count=0; clk_1=!clk_1; end else count=count+1d1; endendmodule仿真结果:里程模块:module licheng(reset,start,clk_1,lch); input reset,start,clk_1; output lch; reg 7:0 lch; reg 5:0 count; always(negedge reset,posedge clk_1) begin if(!reset) begin lch=8b00000000; count=6b000000; end else begin if(start) begin if(count=6b000010) begin lch=lch+1b1; count=count+1b1; if(lch3:04b1001) begin lch7:4=lch7:4+4b0001; lch3:0=4b0000; end end else if(count=6b000100) count=6b000000; else count=count+1b1; end else lch7:0=lch7:0; end end endmodulemodule js(reset,start,clk_1,jishi); input reset,start,clk_1; output jishi; reg 5:0 count1; reg 7:0 jishi; always(negedge reset,posedge clk_1) begin if(!reset) begin count1=6b000000; jishi=8b00000000; end else begin if(!start) begin if(count1=6b000010) begin jishi=jishi+1b1; if(jishi3:04b1001) begin jishi7:4=jishi7:4+4b0001; jishi3:0=4b0000; end count1=count1+1b1; end else if(count1=6b000100) count1=6b000000; else count1=count1+1b1; end else jishi7:0=jishi7:0; end endendmodule里程计时模块仿真结果:说明:从图上可以看出根据start的不同状态,里程和计时模块交替工作,若在行驶状态,则计程器开始加计数,。若出租车停止等待状态,则计时器开始加计数。里程计时模块框图:计费模块:module jf(lch,jishi,fee); input lch,jishi; output fee; reg 13:0 fee; wire 7:0 lch; wire 7:0 jishi; always(lch,jishi) begin if(jishi7:05b01001) begin fee4:0=fee4:0-5b01010; fee9:5=fee9:5+5b00001; end end else begin fee4:0=1b0,jishi3:0+1b0,lch3:0-5b00011+5b01000; fee9:5=1b0,jishi7:4+1b0,lch7:4; fee13:10=4b0000; if(fee4:05b10011) begin fee4:0=fee4:0-5b10100; fee9:5=fee9:5+5b00010;
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号