资源预览内容
第1页 / 共21页
第2页 / 共21页
第3页 / 共21页
第4页 / 共21页
第5页 / 共21页
第6页 / 共21页
第7页 / 共21页
第8页 / 共21页
第9页 / 共21页
第10页 / 共21页
亲,该文档总共21页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
课 程 设 计 报 告 课程名称数字逻辑课程设计 课题RS触发器的设计 专业计算机科学与技术 班级0902 学号0225 姓名田鹏 指导教师刘洞波邓作杰陈多 2011 年 01 月 09 日 课程设计任务书 课程名称数字逻辑课程设计 课题RS触发器的设计 专业班级计算机科学与技术 学生姓名田鹏 学号0225 指导老师刘洞波邓作杰陈多 审批刘洞波 任务书下达日期 2011 年 01 月 09 日 任 务 完 成 日 期 2011 年 01 月 21 日 一 设 计 内 容 与 设 计 要 求 1 设计内容 本课程是一门专业实践课程 学生必修的课程 其目的和作用是使学生能将已学过的数字电子系统设计 VHDL 程序设计等知识综合运用于电子系统的设计中 掌握运用VHDL或者 Verilog HDL 设计电子系统的流程和方法 采用 Quartus II 等工具独立应该完成1 个设计题目的设计 仿真与测试 加强和培养学生对电子系统的设计能 力 培养学生理论联系实际的设计思想 训练学生综合运用数字逻辑课程的理论知识的能力 训练学生应用 Quartus II 进行实际数字系统设计与验证工作的能力 同时训练学生进行芯片编程和硬件试验的能力 题目一4 线 16 线译码器电路设计 题目二16 选 1 选择器电路设计 题目三4 位输入数据的一般数值比较器电路设计 题目四10 线 4 线优先编码器的设计 题目五8 位全加器的设计 题目六RS触发器的设计 题目七JK触发器的设计 题目八D 触发器的设计 题目九十进制同步计数器的设计 题目十T 触发器的设计 每位同学根据自己学号除以10 所得的余数加一 选择相应题号的课题 参考书目 1 EDA 技术与 VHDL 程序开发基础 教程 雷伏容 李俊 尹霞 清 华 大 学 出 版 社 978 7 302 22416 7 2010 TP312VH 36 2 VHDL 电路设计技术王道宪贺名臣刘伟 国 防 工 业 出 版 社 7 2004 TN702 62 3 VHDL 实用技术潘松 王国栋7 81065 7 81065 290 7 2000 TP312VH 1 4 VHDL 语言 100 例详解 北京理工大学ASIC研究 所 7 900625 7 900625 02 X 1999 TP312VH 3 5 VHDL编程与仿真王毅平等 人 民 邮 电 出 版 社 7 2000 W38V 6 VHDL程序设计教程邢建平曾繁泰 清 华 大 学 出 版 社 7 302 11652 0 2005 TP312VH 27 3 7 VHDL电路设计雷伏容 清 华 大 学 出 版 社 7 302 14226 2 2006 TN702 185 2 设 计 要 求 课程设计报告规范 课程设计报告应包含如下几个部分 1 功能描述 说明设计器件的功能 包括真值表 功能表 函数表达式 逻辑电路图 2 详细设计 按照 VHDL 语言开发流程写出整个开发过程 可以根据如下步骤适当导出程序 程序界面截图到课程设计报 告对应模块 3 调试分析以及设计体会 a 仿真或程序下载调试 附界面截图 b 设计过程中遇到的问题以及解决问题的方法 c 课程设计过程经验教训 心得体会 4 书写格式 见附带说明 5 附录 a 参考书目 b 源程序清单 带注释 考核方式 指导老师负责验收程序的运行结果 并结合学生的工作态度 实际动手能力 创新精神和设计报告等进行综 合考评 并按优秀 良好 中等 及格和不及格五个等级给出每位同学的课程设计成绩 具体考核标准包含 以下几个部分 平时出勤 占 10 系统需求分析 功能设计 数据结构设计及程序总体结构合理与否 占10 程序能否完整 准确地运行 个人能否独立 熟练地调试程序 占40 设计报告 占30 注意 不得抄袭他人的报告 或给他人抄袭 一旦发现 成绩为零分 独立完成情况 占10 课程设计验收要求 运行所设计的系统 回答有关问题 提交课程设计报告纸质稿 提交源程序 设计报告文档电子稿 依内容的创新程度 完善程序情况及对程序讲解情况打分 二 进 度 安 排 上机时间 十九周周二8 00 12 00 十九周周三8 00 12 00 二十周周一14 00 18 00 附带说明 课程设计报告装订顺序 封面 任务书 目录 正文 评分 附件 程序清单 正文的格式 一级标题用3 号黑体 二级标题用四号宋体加粗 正文用小四号宋体 行距为 22 正文的内容 一 课题的主要功能 二 详细设计 三 程序调试 四 总结 五 附件 所有程序的原代码 要求对程序写出必要的注释 正文总字数要求在5000 字以上 不含程序原代码 目录 功能描述 7 详细设计 8 调试分析以及设计体会 14 书写格式 19 附录 19 功能描述 1 RS触发器有两个稳定状态 Qn为触发器的原状态 Qn 1为触发器的次态 R为置 0 端 S 为置 1 端 2 基本 RS触发器具有置位 复位和保持 记忆 的功能 3 基本 RS触发器的触发信号是低电平有效 属于电平触发方式 4 基本 RS触发器存在约束条件 R S 1 由于两个与非门的延迟时间无法确定 当 R S 0时 将导致下一状态的不确定 5 当输入信号发生变化时 输出即刻就会发生相应的变化 即抗干扰性能较差 同步 RS 触发器 时钟脉冲控制的RS 触发器 1 真值表 2 函数表达式 3 逻辑电路图 它由两个与非门的输入输出交叉连接而成 如下图 二 详细设计 1 打开 Quartus 软件 建立一个新的工程 1 单击菜单 File New Project Wizard 2 输入工程的路径 工程名以及顶层实体名 3 单击 Next 按钮 本实验没有包含已有文件 单击Next 按钮 4 设置我们的器件信息 5 单击 Next 2 建立 VHDL文件 1 单击 File New 菜单项 选择弹出窗口中的VHDL File 项 单击 OK按钮以建立 打开空的 VHDL文件 2 在编辑窗口输入VHDL源文件并保存实体名 文件名必须和保存的顶层实体名 必须一致 3 编译工程单击 Processing Start Compliation开始编译 编译过程可能出现若 干错误信息 参考提示原因改正程序直到完全正确能够进行编译为止 3 建立矢量波形文件 1 单击 File New 命令 在弹出的窗口中找到other file 中的 Vactoe Waveform File 项打开矢量波形窗口 2 双击窗口左边的空白区域 单击Edit End Time 设置时钟频率 单击Edit Insert Node and Bus对话框 3 单击 Node Finder 按钮 打开以下对话框 选择Filter 下列表中的 Pins all 并 点击 List 按照以下列出的所有端口 通过 按钮把这些端口加入到右面的窗口中 单 击 OK完成端口的添加 4 回到波形编辑窗口 对所有输入端口设置输入波形 具体可以通过左边的工具栏 或通过对信号的单击鼠标哟见的弹出式菜单中完成操作 最后保存次波形文件 4 进行功能仿真 1 单击 Assignments Settings 在弹出对话框将Simiulation mode 设置为 Functional 即功能仿真 指定仿真波形文件后单击OK完成设置 2 单击 Processing Genetate Functional Simulation Netlist以获得功能仿真网络表 3 单击 Processing Start Simulation进入仿真页面 5 进入时序仿真 如果功能仿真无误 可以进入时序仿真 时序仿真是增加了相关延迟的仿真 是最接近实际情况的仿真 单击 Assignments Settings 在弹出对话框中将Simulation mode 设置为 Timeing 即时仿真 指定仿真波形文件后单击OK完成设置 单击 Processing Start Simulation进入到仿真界面 6 器件的下载 指定器件引脚 单击 Assignments Assingnments Plns 为每一个引脚赋值 赋值后 重新编绎 形成编程文件 编程器件通过电缆与电脑相连 进行下载到芯片 按照 VHDL语言开发流程写出整个开发过程 可以根据如下步骤适当导出程序 程序界面截图到课程设计 报告对应模块 1 新建工程 工程管理 2 源文件输入 VHDL程序或原理图的设计 Alter 内嵌模块的调用 对CPLD而言 只有 MAXII 还有内嵌模块可以调用 3 综合 编译 检查语法错误 连接错误 生成综合后网表 4 功能仿真 综合后的功能仿真 简单的管脚分配 I O 特性约束 简单的时序约束 三 调试分析以及设计体会 1 仿真 1 准备网表文件及测试向量文件 2 指定模拟终止时间 3 引入欲观察的结点 4 在结点查找器中寻找结点 5 编辑输入激励波形信号 6 执行模拟 2 设计过程中遇到的问题以及解决问题的方法 在设计过程中 出现的问题有 1 对 VHDL 编程语言不熟及quartus II 软件不熟 对编程下载到芯片感到陌生 经过老师的指教 及同学 的帮助 渐渐地能够运用起来了 2 那个源程序出了出现了三个错误及15 个警告 从头来过后 发现是顶层文件名与编程名不同 3 课程设计过程经验教训 心得体会 数电课程设计是培养学生综合运用所学知识 发现 提出 分析和解决实际问题 锻炼实践能力的重要环 节 是对学生实际工作能力的具体训练和考察过程 回顾起此次课程设计 至今我仍感慨颇多 的确 在短 短的一个星期的日子里 可以说得是苦多于甜 但是可以学到很多很多的的东西 同时不仅可以巩固了 以前所学过的知识 而且学到了很多在书本上所没有学到过的知识 通过这次数电课程设计使我懂得了 理论与实际相结合是很重要的 只有理论知识是远远不够的 只有把所学的理论知识与实践相结合起来 从理论中得出结论 从而提高自己的实际动手能力和独立思考的能力 在设计的过程中遇到问题 可以 说得是困难重重 这毕竟第一次做数电课程设计 难免会遇到过各种各样的问题 同时在设计的过程中 发现了自己的不足之处 对以前所学过的知识理解得不够深刻 掌握得不够牢固 这次数电课程设计终于顺利完成了 在设计中遇到了很多问题 最后在老师的辛勤指导下 终于游逆而 解 同时 在刘老师的身上我学得到很多实用的知识 总体来说 这次实习我受益匪浅 在摸索该如何设计 程序使之实现所需功能的过程中 特别有趣 培养了我的设计思维 增加了实际操作能力 在让我体会到了设 计的艰辛的同时 更让我体会到成功的喜悦和快乐 这次数电课程设计 虽然短暂但是让我得到多方面的提 高 提高了我们的逻辑思维能力 使我们在逻辑电路的分析与设计上有了很大的进步 加深了我们对组 合逻辑电路与时序逻辑电路的认识 进一步增进了对一些常见逻辑器件的了解 另外 我们还更加充分 的认识到 数字电路这门课程在科学发展中的至关重要性 查阅参考书的独立思考的能力以及培养非常 重要 我们在设计电路时 遇到很多不理解的东西 有的我们通过查阅参考书弄明白 有的通过网络查 到 相互讨论共同研究也是很重要的 四 书写格式 正文的格式 一级标题用3 号黑体 二级标题用四号宋体加粗 正文用小四号宋体 行距为 22 五 附件 参考书目 1 EDA 技术与 VHDL 程序开发基 础教程 雷伏容 李俊 尹霞 清 华大学出版 社 978 7 302 22416 7 2010 TP312VH 36 2 VHDL 电路设计技术王道宪贺名臣刘伟 国防工业出版 社 7 2004 TN702 62 3 VHDL 实用技术潘松 王国栋7 81065 7 81065 290 7 2000 TP312VH 1 4 VHDL 语言 100 例详解 北京理工大学ASIC研 究所 7 900625 7 900625 02 X 1999 TP312VH 3 5 VHDL编程与仿真王毅平等 人民邮电出版 社 7 2000 W38V 6 VHDL程序设计教程邢建平曾繁泰 清华大学出版 社 7
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号