资源预览内容
第1页 / 共10页
第2页 / 共10页
第3页 / 共10页
第4页 / 共10页
第5页 / 共10页
第6页 / 共10页
第7页 / 共10页
第8页 / 共10页
第9页 / 共10页
第10页 / 共10页
亲,该文档总共10页全部预览完了,如果喜欢就下载吧!
资源描述
0101/110 序列检测器仿真1. 实验目的熟悉 Modelsim 仿真软件的使用方法,了解状态机的建模方法,使用 ModelSim 仿真QuartusII 工程。2. 实验内容 用 HDL 语言的输入方式,实现 0101/110 序列检测器。 用 modelsim 进行仿真 下载至 DE0 开发板上观察实验结果3. 代码分析(以 0101 序列检测器为例)1) 状态图如下:St0 St1St3 St2St0 St1St20/01/00/01/11/0 0/00/0 1/00/00/01/01/01/00/1图 3.1 0101(左)/110(右)序列检测状态图2) 主模块中首先定义了本次实验的所有输入输出接口及各个状态。其中,因为有 4 种状态,所以 current 为 2 位。/0101 Sequential detectormodule lab1a (vin,cp,ncr,vout);input vin,cp,ncr;output vout;reg vout;reg 1:0 current,next; parameter s0=2b00,s1=2b01,s2=2b10,s3=2b11; 3) 然后设置异步清零,在 cp 上升沿则沿触发器状态翻转。 always (posedge cp or negedge ncr)beginif (ncr)current Start Simulation选择所需的的测试文件点击 OK 后如下图点击 View = Wave在 Object 中添加信号然后点击 Run All 开始仿真5. 实验结果的测试和分析0101 序列检测器的仿真图形如下:110 序列检测器的仿真图形如下:检验后结果正确。6. 实验总结通过这次试验,我明白了序列检测器的原理以及 Modelsim 的基本使用方法。7. 参考文献1) 源代码: Verilog HDL 与数字 ASIC 设计基础 华中科技大学出版社
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号