资源预览内容
第1页 / 共13页
第2页 / 共13页
第3页 / 共13页
第4页 / 共13页
第5页 / 共13页
第6页 / 共13页
第7页 / 共13页
第8页 / 共13页
第9页 / 共13页
第10页 / 共13页
亲,该文档总共13页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
1一程序代码及其仿真:一程序代码及其仿真:1cnt60 子模块代码:子模块代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;ENTITY V_cnt60 IS PORT ( clk :IN std_logic;Q0,Q1,Q2,Q3,Q4,Q5,Q6,QC :OUT std_logic); END V_cnt60;ARCHITECTURE func OF V_cnt60 ISSIGNAL count1 :std_logic_vector(3 downto 0); SIGNAL count2 :std_logic_vector(3 downto 0); SIGNAL carryin:std_logic; BEGINQ0 LED1LED1LED1LED1LED1LED1 NULL; ENDCASE; END process;process(code) BEGIN CASEcodeIS WHEN “0000“= SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A SE_A segment segment segment segment segment segment segment segment segment segment segment segment segment segment segment segment segment Q1 Q1 Q1 Q1 Q1 Q1 Q1 Q1 NULL;END CASE;END IF; END PROCESS; Q= Q1; END HBV;mul8_1 仿真波形:仿真波形:二二总体资源占用率:总体资源占用率:50%
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号