资源预览内容
第1页 / 共33页
第2页 / 共33页
第3页 / 共33页
第4页 / 共33页
第5页 / 共33页
第6页 / 共33页
第7页 / 共33页
第8页 / 共33页
第9页 / 共33页
第10页 / 共33页
亲,该文档总共33页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
122.22.2 系统的输入、输出端口以及寄存器系统的输入、输出端口以及寄存器清单清单及说明:及说明:CLK 输入时钟方波信号端口KIN 键盘按键输入端口KOUT 键盘完整编码码值输出端口(七位二进制数)KOUT1 扫描信号输出端口(三位二进制数)SIN 键盘消抖输入端口(七位二进制数)SOUT 键盘消抖输出端口(七位二进制数)LIN 键盘按键编码模块输入端口(七位二进制数)DF 数字按键标志寄存器FF 功能按键标志寄存器ND 数字按键识别编码寄存器NF 功能按键识别编码寄存器LOCK 电子密码锁上锁状态标志寄存器LOCK1 电子密码锁报警状态标志寄存器UNLOCK 电子密码锁开锁状态标志寄存器NULL1 电子密码锁无密码状态标志寄存器DATA 电子密码锁数码显示数据寄存器CAT 电子密码锁数码显示位选寄存器3DISPLAY 电子密码锁数码显示段选寄存器(十七位二进制数)NUM0、NUM1、NUM2、NUM3 数码显示中分位显示数据寄存器DISNUM 数码显示段选数据寄存器I1 数码显示计数器SCANS 键盘扫描中按键完整编码寄存器SCAN 键盘扫描寄存器CNT 键盘消抖计数器SIN1 键盘按键键值寄存器I 键盘扫描计数器DF1 数字按键状态标志寄存器ACC 键盘数字输入暂存器T 报警计数器REG 电子密码锁密码存储器NC 计数器1 键盘输入扫描部分源程序LIBRARY IEEE; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_1164.ALL; ENTITY kbscan1 is4PORT(clk:in STD_LOGIC;kin:in STD_LOGIC_VECTOR(3 DOWNTO 0);-PC7-PC4kout:out STD_LOGIC_VECTOR(7 downto 0);-PC3-PCkout1: out STD_LOGIC_VECTOR(3 downto 0);end kbscan1;architecture a of kbscan1 issignal scans: std_logic_vector(7 downto 0);-PC7-PC0signal scan : std_logic_vector(3 downto 0);-PC3-PC0signal cnt :integer range 0 to 140;signal sin1:std_logic_vector(3 downto 0);signal i:integer range 0 to 3;beginscansscanscanscanscanNDNDNDNDNDNDNDNDNDNDNDNFNFNFNFNFNFNFCATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUM CATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMCATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMCATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMCATDISNUMDISNUMCATDISNUMDISNUMCATDISNUMDISNUMCATDISNUMDISNUM scanvalue scanvalue scanvalue scanvalue scanvalue Data0 Data0 Data0 Data0 Data0 Data0 Data0 Data0 Data0 Data0 null; -无键盘按下end case; end if; end process; -数码管扫描process(CLK,RESET)-时钟进程,产生各种时钟信号 begin if RESET=0 then NULL; elsif CLKevent and CLK=1 then count3 then scancnt LED_A LED_A LED_A LED_A LED_A LED_A LED_A LED_A LED_A LED_A null;end case; end if; end if;end process; end Behavioral;27控制电路的软件仿真图(1)图 2-11 控制电路的软件仿真图(2)28控制电路的软件仿真图(3)29控制电路的软件仿真图(4)控制电路的软件仿真图(5)305 电子密码锁的数码显示模块源程序(改七段)LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY LEDXIANSHI IS PORT(CLK :IN STD_LOGIC; LED_TimePoint:out std_logic; -冒号 LED_Point:out std_logic; -小数点 LED_EN1:out std_logic; -选择数码管显示DATA: in STD_LOGIC_VECTOR (15 DOWNTO 0);CAT:OUT STD_LOGIC_VECTOR(0 TO 3);DISPLAY:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END LEDXIANSHI;ARCHITECTURE BEHAVIORAL OF LEDXIANSHI ISSignal NUM0,NUM1,NUM2,NUM3: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL DISNUM:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL I1:INTEGER RANGE 0 TO 3;BEGINNUM0CATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUM CATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMCATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUM33CATDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUMDISNUM=NULL;END CASE;END CASE;END PROCESS;END BEHAVIORAL;
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号