资源预览内容
第1页 / 共5页
第2页 / 共5页
第3页 / 共5页
第4页 / 共5页
第5页 / 共5页
亲,该文档总共5页全部预览完了,如果喜欢就下载吧!
资源描述
实验六实验六 利用可编程逻辑器件进行一个利用可编程逻辑器件进行一个 1616LED 点阵控制接口的设计点阵控制接口的设计 一、实验目的一、实验目的 了解了解 LED 点阵显示的原理及接口驱动方法。点阵显示的原理及接口驱动方法。 二、实验任务二、实验任务 利用利用 VHDL 语言进行点阵控制接口的设计在点阵上实现字符的分时显示语言进行点阵控制接口的设计在点阵上实现字符的分时显示 三、点阵控制接口的设计三、点阵控制接口的设计在实验仪器中,在实验仪器中,1616 点阵显示的驱动电路已经做好,并且其行选通信号为一点阵显示的驱动电路已经做好,并且其行选通信号为一 4-16 译码器的输出,所以我们在设计点阵控制接口时,其行选通信号输出必须经译码器的输出,所以我们在设计点阵控制接口时,其行选通信号输出必须经 4-16 编码。编码。 系统框图如下:系统框图如下:(1)分频模块 library ieee; Use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity fenpin is port(clk:in std_logic;clkout:out std_logic); end fenpin;architecture chw_arc of fenpin is signal cnt:integer range 0 to 999; begin process(clk) begin if clkevent and clk=1 then if cnt case sel iswhen “0000“=qqqqqqqqqqqqqqqqnull;end case; when “01“=case sel iswhen “0000“=qqqqqqqqqqqqqqqqnull;end case; when “10“=case sel iswhen “0000“=qqqqqqqqqqqqqqqqnull;end case; when “11“=case sel iswhen “0000“=qqqqqqqqqqqqqqqqnull;end case when others=null;end case;end process; end corn_arc;
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号