资源预览内容
第1页 / 共41页
第2页 / 共41页
第3页 / 共41页
第4页 / 共41页
第5页 / 共41页
第6页 / 共41页
第7页 / 共41页
第8页 / 共41页
第9页 / 共41页
第10页 / 共41页
亲,该文档总共41页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
毕业设计(论文)基于 VHDL 的数码锁的设计专 业: 电子信息工程 姓 名: 学 号: 指导教师: 2012 年 6 月摘摘 要要这里阐述了一种基于 VHDL 设计一种数字电子数码锁的原理和方法,所用的EDA 仿真工具是 Quartus II ,该数码锁具有安全性能高、成本低廉、功耗较低、操作简单等优点。本文介绍的 VHDL 数码锁应具有如下功能:密码预先存入寄存器中,开锁时,输入密码存入另一寄存器中,当按下“确定”键时,启动比较器,比较两个寄存器中的内容,当结果相同时开锁;当结果不同时不开锁。三次输入错误,则发出报警信号,直到管理员终止此报警信号。用户需要修改密码时,先开锁,再按“设定密码” ,清除预先存入的密码,通过键盘输入新的 4 位十进制码,按“确定”完成。此锁可设置四位密码,如果不设置密码时,默认为“0000” 。此数码锁有三个电路组成:密码输入电路、数码锁控制电路和密码显示电路,数字密码的显示我们采用 LED 数码管来实现,相对而言其电路简单,成本低,且具有一定的可靠性。此外,输入采用 4*4 矩阵式键盘。关键词:VHDL 数码锁 Quartus IIAbstractIt is elaborated here the principles and methods of a digital electronic lock based on the VHDL, the EDA simulation tool used is the Quartus II, the lock has a high safety performance, low cost, low power consumption for simple operation, etc.This article describes the VHDL code lock should have the following functions: password pre-stored in another register in the register, unlock, enter the password deposit, when press the “OK“ button to start the comparator compare two registers when the results were the same to unlock; not unlock when the results. Three input error, the alarm signal is issued until the administrator to terminate the alarm signal. Users need to modify the password, unlock, and then set a password, remove the pre-deposited into the password, enter a new four decimal code via the keyboard, press the “OK“ to complete. This lock can be set to a four-digit PIN if you do not set a password, default is “0000“.This lock is composed of three circuits: the password input circuit, the lock control circuit and password display circuit, digital password LED digital tube is relatively simple circuit, low cost, and reliability. In addition, type 4 * 4 matrix keyboard.Key words: VHDL digital lock Quartus II目目 录录摘 要.IABSTRACT.II目 录.III第一章 引 言.11.1 国内外的研究现状.1第二章 数码锁设计基础.32.1 设计目标及方案.32.2 集成电路的设计流程.42.3 IP 核复用技术.62.3.1 IP 复用技术.72.4 复杂可编程逻辑器件(CPLD).72.4.1 CPLD 的基本特点 .72.4.2 MAX7000E 功能描述.82.5 硬件描述语言.102.5.1 Verilog HDL 语言 .112.5.2 VHDL 语言 .122.5.3 Verilog HDL 和 VHDL 的比较.13第三章 数码锁的电路设计.153.1 数码锁的整体电路设计.153.2 数码锁输入电路.153.2.1 键盘接口电路.173.2.2 键盘扫描电路.193.2.3 弹跳消除电路.193.2.4 键盘译码电路.233.2.5 按键存储电路.233.2.6 键盘输入电路的整体的仿真.243.3 控制电路的设计.253.3.1 数字按键输入部分.253.3.2 功能按键输入部分.
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号