资源预览内容
第1页 / 共52页
第2页 / 共52页
第3页 / 共52页
第4页 / 共52页
第5页 / 共52页
第6页 / 共52页
第7页 / 共52页
第8页 / 共52页
第9页 / 共52页
第10页 / 共52页
亲,该文档总共52页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
可编程逻辑器件PLD电信系数字视频中心 鲁放课程简介n脉冲与数字电路为基础:学习了数 字电路的基本设计方法。n可编程逻辑器件:面向实际工程应 用,紧跟技术发展,掌握数字系统新的 设计方法。n数字信号处理:后续课程,应用的 一个方面,由FPGA代替DSP来实现算法 ,提高系统的速度。课程宗旨n更新数字电路的设计观念,建立用PLD器 件取代传统TTL器件设计数字电路的思想n更新数字系统设计手段,学会使用硬件 描述语言(Hardware Description Language)代替传统的数字电路设计方 法来设计数字系统。可编程逻辑器件的定义n逻辑器件:用来实现某种特定逻辑功能的电子 器件,最简单的逻辑器件是与、或、非门( 74LS00,74LS04等),在此基础上可实现复 杂的时序和组合逻辑功能。n可编程逻辑器件(PLDProgrammable Logic Device):器件的功能不是固定不变的 ,而是可根据用户的需要而进行改变,即由编 程的方法来确定器件的逻辑功能。课程内容n器件为什么能够编程n了解大规模可编程逻辑器件的结构及工作原 理n怎样对器件编程n熟悉一种EDA软件的使用方法(工具)n以Altera公司的MaxPlusII为例n掌握一种硬件描述语言(方法),以设计软 件的方式来设计硬件(重点)n以VHDL语言为例教学安排n理论教学(12学时)n上机实践(20学时)n考核方式n实验成绩(实验报告)n理论笔试(考试)n上机考试(上机操作)参考书n王金明,数字系统设计与Verilog HDL, 电子工业出版社。n杨晖,大规模可编程逻辑器件与数字系 统设计,北京航空航天大学出版社。n褚振勇,FPGA设计及应用,西安电子科 技大学出版社。nwww.fpga.com.cn脉冲与数字电路课程的回顾n布尔函数数字系统数学基础(卡诺 图)n数字电路设计的基本方法n组合电路设计 问题逻辑关系真值表化简逻辑图n时序电路设计 列出原始状态转移图和表状态优化状态分 配触发器选型求解方程式逻辑图脉冲与数字电路课程的回顾n使用中、小规模器件设计电路(74、54 系列)n编码器(74LS148)n译码器(74LS154)n比较器(74LS85)n计数器(74LS193)n移位寄存器(74LS194)n脉冲与数字电路课程的回顾n设计方法的局限n卡诺图只适用于输入比较少的函数的化简。n采用“搭积木”的方法的方法进行设计。必须 熟悉各种中小规模芯片的使用方法,从中挑 选最合适的器件,缺乏灵活性。n设计系统所需要的芯片种类多,且数量很大 。脉冲与数字电路课程的回顾n采用中小规模器件的局限n电路板面积很大,芯片数量很多,功耗很大 ,可靠性低提高芯片的集成度n设计比较困难能方便地发现设计错误n电路修改很麻烦提供方便的修改手段nPLD器件的出现改变了这一切PLD出现的背景n电路集成度不断提高nSSIMSILSIVLSIn计算机技术的发展使EDA技术得到广泛应用n设计方法的发展n自下而上自上而下n用户需要设计自己需要的专用电路n专用集成电路(ASICApplication Specific Integrated Circuits)开发周期长,投入大,风险大n可编程器件PLD:开发周期短,投入小,风险小PLD器件的优点n集成度高,可以替代多至几千块通用IC芯片n极大减小电路的面积,降低功耗,提高可靠性n具有完善先进的开发工具n提供语言、图形等设计方法,十分灵活n通过仿真工具来验证设计的正确性n可以反复地擦除、编程,方便设计的修改和升 级n灵活地定义管脚功能,减轻设计工作量,缩短 系统开发时间n保密性好n管脚数目:n208个n电源:n3.3V(I/O)n2.5V(内核)n速度n250MHzn内部资源n4992个逻辑单元n10万个逻辑门n49152 bit的RAMPLD的发展趋势n向高集成度、高速度方向进一步发展n最高集成度已达到400万门n向低电压和低功耗方向发展, 5V3.3V2.5V1.8V更低n内嵌多种功能模块nRAM,ROM,FIFO,DSP,CPUn向数、模混合可编程方向发展大的PLD生产厂家nwww.altera.comn最大的PLD供应商之一nwww.xilinx.comnFPGA的发明者,最大的PLD供应 商之一nwww.latticesemi.comnISP技术的发明者nwww.actel.comn提供军品及宇航级产品PLD器件的分类按集成度n低密度nPROM,EPROM,EEPROM,PAL,PLA,GALn只能完成较小规模的逻辑电路n高密度,已经有超过400万门的器件nEPLD ,CPLD,FPGAn可用于设计大规模的数字系统集成度高,甚 至可以做到SOC(System On a Chip)PLD器件的分类按结构特点n基于与或阵列结构的器件阵列型nPROM,EEPROM,PAL,GAL,CPLDnCPLD的代表芯片如:Altera的MAX系列n基于门阵列结构的器件单元型nFPGAPLD器件的分类按编程工艺n熔丝或反熔丝编程器件Actel的FPGA器件n体积小,集成度高,速度高,易加密,抗干扰,耐 高温n只能一次编程,在设计初期阶段不灵活nSRAM大多数公司的FPGA器件n可反复编程,实现系统功能的动态重构n每次上电需重新下载,实际应用时需外挂EEPROM 用于保存程序nEEPROM大多数CPLD器件n可反复编程n不用每次上电重新下载,但相对速度慢,功耗较大数字电路的基本组成n任何组合电路都可表示为其所有输入信 号的最小项的和或者最大项的积的形式 。n时序电路包含可记忆器件(触发器), 其反馈信号和输入信号通过逻辑关系再 决定输出信号。PLD的逻辑符号表示方法与门乘积项PROM结构n与阵列为全译码阵 列,器件的规模将 随着输入信号数量 n的增加成2n指数 级增长。因此 PROM一般只用于 数据存储器,不适 于实现逻辑函数。nEPROM和EEPROM用PROM实现组合逻辑电路功能实现的函数为:固定连接点 (与)编程连接点 (或)PLA结构nPLA的内部结构在 简单PLD中有最高 的灵活性。PAL结构n与阵列可编程使 输入项增多,或 阵列固定使器件 简化。n或阵列固定明显 影响了器件编程 的灵活性AnBnCnAnBnCnAnBnCnAnBnCnAnBnAnCnBnCn用PAL实现全加器GAL结构nGAL器件与 PAL器件的 区别在于用 可编程的输 出逻辑宏单 元(OLMC )代替固定 的或阵列。 可以实现时 序电路。逻辑宏单元OLMCGAL器件的OLMC Output Logic Macro Celln每个OLMC包含或阵列 中的一个或门n组成:n异或门:控制输出 信号的极性nD触发器:适合设 计时序电路n4个多路选择器输出使 能选择反馈信 号选择或门控 制选择输出 选择CPLD内部结构(Altera的MAX7000S系列)逻辑阵 列模块I/O单元连线资源逻辑阵列模块中包含多个宏单元宏单元内部结构乘积项逻辑阵列乘积项选择矩阵可编程 触发器可编程的I/O单元n能兼容TTL和CMOS多种接口和电压标准n可配置为输入、输出、双向、集电极开路和三 态等形式n能提供适当的驱动电流n降低功耗,防止过冲和减少电源噪声n支持多种接口电压(降低功耗)n1.20.5um,5Vn0.35um,3.3Vn0.25um,internal 2.5V,I/O3.3Vn0.18um,internal 1.8V,I/O2.5V and 3.3V可编程连线阵列n在各个逻辑宏单元之间以及逻辑宏单元 与I/O单元之间提供信号连接的网络nCPLD中一般采用固定长度的线段来进行 连接,因此信号传输的延时是固定的, 使得时间性能容易预测。FPGA结构原理图n内部结构称为 LCA(Logic Cell Array)由三个部 分组成:n可编程逻辑块( CLB)n可编程输入输出 模块(IOB)n可编程内部连线 (PIC)IOBCLB包含多 个逻辑单元PICLE内部结构查找表的基本原理实际逻辑电路LUT的实现方式a,b,c,d 输入逻辑输出地址RAM中 存储的内容 000000000000010000100.0 1111111111 N个输入的逻辑函数需要2的N次方的容量的SRAM 来实现,一般多个输入的查找表采用多个逻辑块 级连的方式查找表的基本原理N个输入的逻辑函数需要2的N次方的容量的SRAM 来实现,一般多于输入的查找表采用多个逻辑块 级连的方式FPGA中的嵌入式阵列(EAB)n可灵活配置的RAM块n用途n实现比较复杂的函数的查找表,如正弦、余 弦等。n可实现多种存储器功能,如RAM,ROM,双 口RAM,FIFO,Stack等n灵活配置方法:2568,也可配成5124内部晶体震荡器n高速反向放大 器用于和外部 晶体相接,形 成内部晶体振 荡器。n提供将振荡波 形二分频成对 称方波的功能 。CPLD与FPGA的区别CPLDFPGA内部结 构ProducttermLookup Table程序存 储内部EEPROMSRAM,外挂EEPROM资源类 型组合电路资源丰富 触发器资源丰富集成度低高使用场 合完成控制逻辑能完成比较复杂的算法速度慢快其他资 源EAB,锁相环保密性可加密一般不能保密FPGA与CPLD的区别nFPGA采用SRAM进行功能配置,可重复 编程,但系统掉电后,SRAM中的数据丢 失。因此,需在FPGA外加EPROM,将配 置数据写入其中,系统每次上电自动将数 据引入SRAM中。CPLD器件一般采用 EEPROM存储技术,可重复编程,并且系 统掉电后,EEPROM中的数据不会丢失, 适于数据的保密。FPGA与CPLD的区别nFPGA器件含有丰富的触发器资源,易于 实现时序逻辑,如果要求实现较复杂的 组合电路则需要几个CLB结合起来实现 。CPLD的与或阵列结构,使其适于实现 大规模的组合功能,但触发器资源相对 较少。FPGA与CPLD的区别nFPGA为细粒度结构,CPLD为粗粒度结构 。FPGA内部有丰富连线资源,CLB分块 较小,芯片的利用率较高。CPLD的宏单 元的与或阵列较大,通常不能完全被应用 ,且宏单元之间主要通过高速数据通道连 接,其容量有限,限制了器件的灵活布线 ,因此CPLD利用率较FPGA器件低。FPGA与CPLD的区别nFPGA为非连续式布线,CPLD为连续式布线。 FPGA器件在每次编程时实现的逻辑功能一样 ,但走的路线不同,因此延时不易控制,要求 开发软件允许工程师对关键的路线给予限制。 CPLD每次布线路径一样,CPLD的连续式互连 结构利用具有同样长度的一些金属线实现逻辑 单元之间的互连。连续式互连结构消除了分段 式互连结构在定时上的差异,并在逻辑单元之 间提供快速且具有固定延时的通路。CPLD的 延时较小。PLD器件的命名与选型nEPM7 128 S L C 8410nEPM7:产品系列为EPM7000系列n128:有128个逻辑宏单元nS:电压为5V,AE为3.3V,B为2.5VnL:封装为PLCC,Q代表PQFP等nC:商业级(Commercial)070度, I:工业级(Industry),4085度 M:军品级(Military),55125度n84:管脚数目n10:速度级别管脚的定义n特殊功能的管脚n电源脚VCC和GND,VCC一般分为VCCINT和VCCIO 两种nJTAG管脚:实现在线编程和边界扫描n配置管脚(FPGA):用于由EEPROM配置芯片n信号管脚n专用输入管脚:全局时钟、复位、置位n可随意配置其功能为:输入、输出、双向、三态PLD的设计步骤设计输入n原理图输入n使用元件符号和连线等描述n比较直观,但设计大规模的数字系统时则显得繁琐nHDL语言输入n逻辑描述功能强n成为国际标准,便于移植n原理图与HDL的联系与高级语言与汇编语言类 似设计处理n综合和优化n优化:将逻辑化简,去除冗余项
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号