资源预览内容
第1页 / 共1页
亲,该文档总共1页全部预览完了,如果喜欢就下载吧!
资源描述
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DECOUNTER16 ISPORT( CLK:IN STD_LOGIC; RST:IN STD_LOGIC; EN :IN STD_LOGIC; CNT_VAL:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END ENTITY DECOUNTER16; ARCHITECTURE ONE OF DECOUNTER16 IS BEGIN PROCESS(CLK,RST,EN) VARIABLE Q:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST=1THEN Q:=1111; ELSIF CLKEVENT AND CLK=1THEN IF EN=1THEN Q:=Q-1; ELSE Q:=0000; END IF; END IF; CNT_VAL=Q; END PROCESS; END ONE;
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号