资源预览内容
第1页 / 共3页
第2页 / 共3页
第3页 / 共3页
亲,该文档总共3页全部预览完了,如果喜欢就下载吧!
资源描述
关于使用ModelSim中创建testbench方法ModelSim中自动创建TestBench的方法1. 创建工程,将需要仿真的模块加入工程,编译2. File - Open,使用ModelSim自带的文本编辑器打开被仿真模块3. Source - Show Language Templates4. 在显示的 Language Templates栏目中选择“Create Testbench”5. 软件自动弹出的Create Testbench Wizzard”窗口中,在work下选择待仿真模块,按照提示走完,即自动生成对于初学者来说写Testbench测试文件还是比较困难的,但Modelsim和quartus ii都提供了模板,下面就如何使用Modelsim提供的模板进行操作。Modelsim提供了很多Testbench模板,我们直接拿过来用可以减少工作量。对源文件编译完后,鼠标光标移到代码编辑窗后才会在菜单栏看到source选项,点Source-ShowLanguageTemplates然后会出现一个加载工程,接着你会发现在刚才的文档编辑窗口左边出现了一个LanguageTemplates窗口,展开Verilog项,双击CreatTestbench会出现一个创建向导,展开工作目录添加目标文件,点击next,弹出testbench配置窗口,默认就行了,然后点击finish。一个Testbench模板就诞生了,我们就可以在此编辑窗中添加激励代码了。本次操作软件版本为modelsim alter starter edition 6.6d.
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号