资源预览内容
第1页 / 共28页
第2页 / 共28页
第3页 / 共28页
第4页 / 共28页
第5页 / 共28页
第6页 / 共28页
第7页 / 共28页
第8页 / 共28页
第9页 / 共28页
第10页 / 共28页
亲,该文档总共28页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
最佳接收机的仿真和实现摘要:本文根据匹配滤波器的性能设计了由匹配滤波器构成的最佳接收机,并完成了匹配滤波器的matlab仿真和VHDL仿真。本文主要以匹配滤波器的知识为基础,提高信号的信噪比,以实现二元 数字信号的最佳接收。首先介绍了匹配滤波器的只是为基础理论:传输特性,冲激响应,输出波形。在此基础上研究了由匹配滤波器构成的最佳接收机,并且通过matlab仿真和VHDL仿真验证了该模型的正确性。关键词:匹配滤波器;最佳接收机;仿真目录第1章 绪论 11.1 背景介绍 11.2 课程设计的目的和任务 1第2章基于匹配滤波器的最佳接受原理 22.1 匹配滤波器的概述 22.2 匹配滤波器接收机原理 22.2.1. 一般情况下的匹配滤波器 32.2.2. 白噪声条件下的结果 32.2.3. 匹配滤波的最佳接收机 5第三章 MATLAB及SIMULINK环境简介及仿真设计 73.1 MATLAB 及 SIMULINK环境简介 73.2 最佳接收机仿真设计 73.3 simulink 设计图 83.4 匹配滤波器的 matlab仿真 8第4章VHDL语言实现最佳接收机 124.1 VHDL 简介 124.2 QPSK匹配滤波器的 VHDK计 124.2.1 正交相移键控(QPSK 124.2.3 QPSK匹配滤波器的仿真 12第5章结论和心得 15参考文献 16附录1 matlab 程序 17附录2 vhdl程序 20教师评语 24第一章绪论1.1 背景介绍随着无线数字化发展,数字通信已经走入我们的生活。 而数字通信中必然会涉及到的有接收机, 其中最佳接收机是主流,而基于匹配滤波器的最佳接收机也便是其中之一。最佳接收机的匹配滤波器广泛用于雷达、声纳和通信。其作用是:一、提高信噪比。毫不夸张 的说,任何电子系统都有匹配滤波或近似匹配滤波的环节,目的是提高信噪比。二、对于大时间带 宽积信号,匹配滤波等效于脉冲压缩。因此可以提高雷达或声纳的距离分辨率和距离测量精度。在 扩频通信中,可以实现解扩。最佳接收机是通信系统中最复杂的模块之一,只有概念明确、思路清晰,才能完成相应的工程 实现。熟悉最佳接收机理论,掌握最佳接收机的实现,并能够使用该理论来分析和解决工程中的实 际问题是通信工程师必须掌握的基本功。1.2 课程设计的目的和任务通信原理课程设计是系统性的设计和实现。通过课程设计将学生从理论学习的轨道逐步引向实 际应用,把理论上熟悉的定性分析、定量计算逐步和工程估算、实验调整等手段结合起来,掌握工 程设计的步骤和方法,了解科学实验的程序和实施方法,为以后毕业设计和从事通信系统的实际工 作打下基础。本课程设计就是要求学生完成最佳接收机的仿真与实现。学生需在分析匹配滤波器的原理的基 础上,给出相应的软件实现和仿真结果,并对结果进行分析,得出相应结论,同时应独立撰写完整 的课程设计报告。第2章 基于匹配滤波器的最佳接受原理2.1 匹配滤波器的概述在白噪声干扰下,如果线性滤波器的输出端在某一时刻上使信号的瞬时功率与白噪声平均功率 之比达到最大,就可以使判决电路错误判决的概率最小。这样的线性滤波器称为疲惫滤波器。所以, 匹配滤波器是最大输出信噪比意义下的最佳线性滤波器。用匹配滤波器构成的接收机是满足最大输 出信噪比准则的最佳接收机,也称为匹配滤波器接收机。2.2 匹配滤波器接收机原理对于二进制数字信号,根据它们的时域表达式及波形可以直接得到相应的解调方法。在加性白 高斯噪声的干扰下,这些解调方法是否是最佳的,这是我们要讨论的问题。数字传输系统的传输对 象是二进制信息。分析数字信号的接收过程可知,在接收端对波形的检测并不重要,重要的是在背 景噪声下正确的判断所携带的信息是哪一种。因此,最有利于作出正确判断的接收一定是最佳接收。从最佳接收的意义上来说,一个数字通信系统的接收设备可以看作一个判决装置,该装置由一个线性滤波器和一个判决电路构成,如图1所示。线性滤波器对接收信号进行相应的处理,输出某个物理量提供给判决电路,以便判决电路对接收信号中所包含的发送信息作出尽可能正确的判决, 或者说作出错误尽可能小的判决。图2.2-1简化的接收设备假设有这样一种滤波器,当不为零的信号通过它时,滤波器的输出能在某瞬间形成信号的峰值,而同时噪声受到抑制,也就是能在某瞬间得到最大的峰值信号功率与平均噪声功率之比。在相应的时刻去判决这种滤波器的输出,一定能得到最小的差错率。匹配滤波器是一种在最大化信号的同时使噪声的影响最小的线性滤波器设计技术。注意:该滤波器并不保持输入信号波形,其目的在于使输入信号波形失真并滤除噪声,使得在采样时刻t0输出信号值相对于均方根(输出)噪声值达到最大。2.2.1 , 一般情况下的匹配滤波器r(t) -s(t) n(t)匹配滤波器h(t)或 H ( f)图2.2-2匹配滤器S(t):匹配滤波器输入信号;n(t):匹配滤波器输入噪声;So(t):匹配滤波器输出信号;no(t):匹配滤波器输出噪声;h(t)或H(f):匹配滤波器。匹配滤波器的目的就是使下式取最大值:)outs;(t)no (t)r0(t) -s0(t) n0(t)(2-1)使上式取最大值的转移函数为:S (f ) j7:n(f )(2-2)S(t )的傅立叶变换,中n( f )是输入噪声的式中S( f) = F b(t)是已知的时宽为T秒的输入信号S功率谱密度PSD K是一个任意非0实常数。t0是计算(一)。讥时的采样时间。详细推导公式见参考 N教材。2.2.2 .白噪声条件下的结果在白噪声条件下,匹配滤波器可简化描述如下:对白噪声,5n( f ) = No/2 ,上式变为:H ( f ) = S ( f )e-j t0(2-3)N 0特别是对输入的实信号波形s(t)时,有:h(t) =2KS(t0 -t)(2-4)No上式表明匹配滤波器(白噪声情况下)的冲激响应就是已知输入信号波形反转并平移了T0,见图3,因此称滤波器与信号“匹配”。图3所示的匹配滤波器波形,也称积分-清除(匹配)滤波器。假定输入信号为矩形脉冲,如图2.2-3(a)所示。图2.2-3匹配滤波器波形信号时宽为T =t2 -ti。对白噪声情况,匹配滤波器的冲激响应为:(2-5)h(t) = s(to -t) = s(-(t - to)为方便起见,令 C为1, s(t),如图2.2-3 (b)所示。由该图可见,要使冲激响应可实现,要求:t0 - t2这里采用t0 =t2,是因为这是满足可实现条件的最小值。要使滤波器输出最大信号值前的等待时间(即t =to)最小化。图2.2-3 (c)示出了 t =t2时的h(t),如图2.2-3 (d)示出了输出信号, 注意输出信号的峰值出现在 t =to。为了使峰值出现在t =to ,输入信号经滤波后将会有失真。在比 特波形为矩形的数字信号传输技术中,该匹配滤波器相当于积分-清除滤波器。假设输入信号为矩 形波形,在输出信号值最大时对其进行抽样。则t =to处的滤波器的输出为:o(to) = r(to)坤 h(to) = Lr(K)h(to 九)d九(2-6)将图3 (c)所示匹配滤波器冲激响应带入上式,等式变为:t o.o(to) = r (九)d 九(2-7)t o - T因此,需要将输入信号加噪声在一个符号区间T (对二进制信号传输是比特区间)上积分,然后在符号区间末将积分输出“清空”。这种方法示于图 4 (二进制信号)。注意,为了使最优滤波器工作正常,需要一个外部时钟信号,称为比特同步。而且,由于输出采样值仍被噪声干扰(尽管匹 配滤波器已使噪声达到最小),输出信号不是二进制的。将输出送入比较器,可将其转换为二进制信 号。2.2.3 匹配滤波的最佳接收机根据匹配滤波器原理可做出匹配滤波器的最佳接收机。最佳接收机框图如下:其中判决公式如下图2.2-4最佳接收机框图J:x(u)S)(u)du ,:x(u)s0(u)du,则判为收到 s1J:x(u)S)(u)du (xN衿oluRu,则判为收到 s0第三章MATLA吸SIMULIN幽境简介及仿真设计3.1 MATLAB及 SIMULIN断境简介MATLAB是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析 以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB SIMULINK两大部分。Simulink是MATLAB1重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。 Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink 。SIMULINK是MATLA井的一种可视化仿真工具,是一种基于MATLAB勺框图设计环境,是实现动态系统建模、仿真和分析的一个软件包,被广泛应用于线性系统、非线性系统、数字控制及数字 信号处理的建模和仿真中。Simulink可以用连续采样时间、离散采样时间或两种混合的采样时间进行建模,它也支持多速率系统,也就是系统中的不同部分具有不同的采样速率。为了创建动态系统 模型,Simulink提供了一个建立模型方块图的图形用户接口(GUI),这个创建过程只需单击和拖动鼠标操作就能完成,它提供了一种更快捷、直接明了的方式,而且用户可以立即看到系统的仿真结 果。3.2 最佳接收机仿真设计整个仿真可由随机二进制数据产生器、加性高斯白噪声信道、匹配滤波及采样判决器、直接采 样判决器、示波器、比特误码计数器等六类模块构成。二进制数据产生器根据设置参数,产生一系列二进制随机数据,一路送入加性高斯白噪声信道,另一路送入采样器,作为参考的数据;同时输出两个时钟信号,一个用于采样判决,另一个用于数 据同步。加性高斯白噪声信道对输入的数据混叠依据参数设置的噪声后一路送入匹配滤波及采样判决器,第二路送入直接采样判决器,第3路送入示波器供观察。匹配滤波及采样判决器,根据匹配滤波器原理,对输入信号进行匹配滤波,滤波后的模拟数据 一路送入示波器显示观察,第二路送入判决器进行采样判决,以重新恢复出原始的发送端的二进制 数据信号,并将该判决数据输出给比特误码计数器。直接采样判决器,直接对接受到的有噪声信号进行判决,根据判决结果,恢复出原始端发送的 二进制数据。该数据也送入到另外一个比特误码计数器中。3.3 simulink 设计图本实验是运用 MATLAB件的集成开发工具 SIMULIK搭建了一个匹配滤波器软件仿真实验系统,来 实现数字信号在经过高斯白噪声污染后最佳的恢复。实验者可以在系统上进行不同参数的设置或更改, 了解高斯白噪声对接收机的影响,了解最佳接收的过程。系统框图如图 3.3-1所示。口由CLKCLII积分采样判决信号Bit courrt&ror count1图3.3-1匹配滤波器仿真框图3.4 匹配滤波器的matlab仿真当运行主函数
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号