资源预览内容
第1页 / 共1页
亲,该文档总共1页全部预览完了,如果喜欢就下载吧!
资源描述
用VHDL语言设计实现一个8421码转换为格雷码的代码转换器代码 2011-4-17 21:13 提问者: wangzhe_归来 | 浏览次数:433次我来帮他解答 输入内容已经达到长度限制还能输入9999字插入图片删除图片插入地图删除地图插入视频视频地图参考资料:提交回答取消 2011-4-21 23:23 满意回答 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY B2G ISGENERIC(n:INTEGER :=4);PORT( norm : IN STD_LOGIC_VECTOR(n-1 DOWNTO 0); grey : OUT STD_LOGIC_VECTOR(n-1 DOWNTO 0);END B2G; ARCHITECTURE behave OF B2G IS SIGNAL temp_normal : STD_LOGIC_VECTOR(n-1 DOWNTO 0);SIGNAL temp_grey : STD_LOGIC_VECTOR(n-1 DOWNTO 0); BEGINPROCESS(norm)BEGINtemp_normal = norm;temp_grey(n-1) = temp_normal(n-1)FOR i IN n-2 DOWNTO 0 LOOPtemp_grey(i) = temp_normal(i+1) xor temp_normal(i);END LOOP;grey = temp_grey;END PROCESS;END behave;
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号