资源预览内容
第1页 / 共2页
第2页 / 共2页
亲,该文档总共2页全部预览完了,如果喜欢就下载吧!
资源描述
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shumaguan isport(clk:in std_logic; x:out std_logic_vector(7 downto 0); seg:out std_logic_vector(7 downto 0);end entity;architecture behave of shumaguan issignal clk1:std_logic;beginprocess(clk)variable count:integer range 0 to 49999999;begin if(clkevent and clk=1) then if(count=20000000) then -动态 -if(count=1000) then -静态 count:=0; clk1x=01111111;segx=10111111;segx=11011111;segx=11101111;segx=11110111;segx=11111011;segx=11111101;segx=11111110;segnull; end case; end process;end behave;
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号