资源预览内容
第1页 / 共3页
第2页 / 共3页
第3页 / 共3页
亲,该文档总共3页全部预览完了,如果喜欢就下载吧!
资源描述
三人表决器、五人表决器的实验报告一 实验目的1.熟悉Quartus II软件的基本操作 2.学习使用Verilog HDL进行设计输入 3.逐步掌握软件输入、编译、仿真的过程 二 实验说明本次实验是要设计一个三人表决器。该电路应有两个数据输入端口b1,b2,b3,电路的输出端口为voter(u输出信号)。b1b2 voter u b3 三人表决器真值表:输入信号输出信号B1B2B3u00000010010001111000101111011111逻辑表达式:U=三 实验要求1、完成三人表决器的Verilog HDL程序代码输入并进行仿真2、采用结构描述方式和数据流描述方式3、完成对设计电路的仿真验证 四、实验过程(1)三人表决器:程序代码 仿真结果五人表决器:程序代码 仿真结果五、实验体会通过三人表决器和五人表决器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握三人表决器和五人表决器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。三人表决器和五人表决器大体相似,并没有太大的区别。
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号