资源预览内容
第1页 / 共7页
第2页 / 共7页
第3页 / 共7页
第4页 / 共7页
第5页 / 共7页
第6页 / 共7页
第7页 / 共7页
亲,该文档总共7页全部预览完了,如果喜欢就下载吧!
资源描述
30KQ为了使得输入信号中心对称,从而不会削顶或者截底,一般设置为Vcc/2,计算 公式如下:公式(1)要求最大输出为5Vpp,那么电源电压必须大于5V,为了方便计算,本设计电源 电压采用的11.4V,故V=5.7VOB射级跟随器的设计射极跟随器也叫共集电极放大电路,具有输入阻抗高和输出阻抗低的优点,但不 具备电压放大功能,可以放大电流。下图是典型的射极跟随器电路。11. ZVRrSOkOQ2 2N2924Key =二Wl5Q0Q一静态工作点设定设计三极管放大电路,首先要确定好静态工作点。静态工作点就是在没有交流信 号输入时,电路的工作状态。静态工作点的确定要围绕输入信号和输出的规格来 确定,为了降低电路分析的难度,这里我们假设负载为纯阻性负载,最大5mA 的带载能力,最大输出电压5Vpp。11确定直流偏置电压VBB12确定射极电流及电阻三极管在导通状态下,射级电压比基级电压低0.6-0.7V,这里取0.7V,已知最 大输出能力为5mA,增加余量,I设定为10mA,计算得R =500 Q,计算如下:eER = 500Q (2 )13确定偏置电阻设计中选用的是低噪声NPN三极管,查阅其资料,其B取值150300之间,这里 取300,由FI/B得最小Ib=33.3uA,偏置电流与基级电流的关系必须满足1, 这里取 10 倍(一般 1OTO0 倍),即 I =333uA,R1=R2=R,根据公式(1)得 R=34.23K,B这里取30K。动态分析是基于静态工作点来分析的,根据输出规格5Vpp可知,输入信号不应 超过2.5V,上面算得静态偏置电压为5.7V,那么基级电压在3.2V8.2V之间, 没有超过电源电压,不会产生削顶失真。下面进行详细分析。2.1确定输入电容输入电容Ci和后级电路的输入阻抗Ri组成了高通滤波电路,其等效电路如下:只要知道截止频率和输入阻抗就能求出电容的值,截止频率设多少都没关系(注 意三极管的频率特性,只不过一般这样的电路频率不会太高),取决于信号的频 率范围,这里设截止频率为100Hz(衰减3db)。输入阻抗Ri就复杂了点,可以这 么去分析:输入阻抗可以看成三个电阻并联,Ri=R1|R2|Rj, R1和R2是偏置电 阻,很好理解,Rj就是三极管的输入阻抗。Rj的值是很大的,远大于R1和R2, 所以Ri就约等于R1|R2。其实也可以简单分析一下Rj的数量级,已知射级电阻Re上流过的电流Ie是基级电流lb的1+B倍,那么在射级电阻上的压降Ve=I (1+ B)Re,对于输入端而言,lb所产生的电压为I *r +Ve=I (r +(l+B)Re),所以, b beb beRi 的等效阻抗就为 r +(l+B)Re。在本设计中,B =300, Re=500, Ri=r +150.5K, 很大的电阻了,故本设计Ri=R1|R2=15K。所以,就可以根据公式(3)求出电容, 如下:C = =1= 1.06 X10-7 过 OJwF2 欣f6.28*15*103*1002.2确定输出电容输出电容与负载组成高通滤波电路,负载电阻不确定,故要把输出电容比输入电 容取大一些,这里取100倍,Co=10uF。为了提高电源的频率响应,在三极管的 集电极并联了去耦电容。2.3最终电路11 4V:G GxQ2输出波形:T1 - +口 3TZ-T1Tinte 30-115 30-IO aQiEnnel_AChannelOiannel_COiannBl_D-lii vH OOD ViRersEokGHD-L mDrvscale:A昨忡-A0 Q EEdge:0Y吟仲;DLvh不想篇幅太长,下篇射级跟随器一分析篇来仿真分析这个电路,验证这个电 路的正确性以及缺点。特别值得注意的是,虽然射级跟随器的输出阻抗可以近似 为0,无论负载大小都不会影响输出,但实际,当负载过重,输出信号会被截底, 下次详细分析原因与条件。如下图:Four c han nel ost il lotcope-XS 匚T1 “ *Tm&CHonnel AChannei_acnannel_cOMinnel_DfRjwerseD.OOO $0.400 G九财VSchneT7-T1D.OOO sO.OOO VGNDTirneMHharn&l_A.T riggerSale:L mDi1 ScaAe:LV/hin A Edge. r . TExtK pa3.(DhA+&1 iftC 11 BcSinghNonrSi-3 jtp_si
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号