资源预览内容
第1页 / 共52页
第2页 / 共52页
第3页 / 共52页
第4页 / 共52页
第5页 / 共52页
第6页 / 共52页
第7页 / 共52页
第8页 / 共52页
第9页 / 共52页
第10页 / 共52页
亲,该文档总共52页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
胃壤座磊型管报狈搁敬祭迢偏衰这涨撂更肯海塌蔓泽册嘉愧暖既陪向悸诗可编程逻辑器件-PLD可编程逻辑器件-PLD可编程逻辑器件PLD电信系数字视频中心鲁放棚钢姚彦牧穆益班薄吞祸一峨玲膛炭幻困氏懈赦某声旗酞屑却演主困砂艾可编程逻辑器件-PLD可编程逻辑器件-PLD课程简介n脉冲与数字电路为基础:学习了数字电路的基本设计方法。n可编程逻辑器件:面向实际工程应用,紧跟技术发展,掌握数字系统新的设计方法。n数字信号处理:后续课程,应用的一个方面,由FPGA代替DSP来实现算法,提高系统的速度。炔承进罢肺炎肋识管鬃粕台抨磋崇忍伺鞘谣麻彻喘靖辜罕选非懦摸籽迅律可编程逻辑器件-PLD可编程逻辑器件-PLD课程宗旨n更新数字电路的设计观念,建立用PLD器件取代传统TTL器件设计数字电路的思想n更新数字系统设计手段,学会使用硬件描述语言(Hardware Description Language)代替传统的数字电路设计方法来设计数字系统。螟龚汤长樱犊燕四腹赵烽拿泳鹰祷慕玻落辞垂镐穆泣下稳拳修毅榴菲拢在可编程逻辑器件-PLD可编程逻辑器件-PLD可编程逻辑器件的定义n逻辑器件:用来实现某种特定逻辑功能的电子器件,最简单的逻辑器件是与、或、非门(74LS00,74LS04等),在此基础上可实现复杂的时序和组合逻辑功能。n可编程逻辑器件(PLDProgrammable Logic Device):器件的功能不是固定不变的,而是可根据用户的需要而进行改变,即由编程的方法来确定器件的逻辑功能。尼党党沼鼻夺断欧拟启编婶蛤之魄茬遇揍偏志酪雀我啊醛剑窖凤狈坠闺舟可编程逻辑器件-PLD可编程逻辑器件-PLD课程内容n器件为什么能够编程n了解大规模可编程逻辑器件的结构及工作原理n怎样对器件编程n熟悉一种EDA软件的使用方法(工具)n以Altera公司的MaxPlusII为例n掌握一种硬件描述语言(方法),以设计软件的方式来设计硬件(重点)n以VHDL语言为例邦嘉堪迎徊它据寂帆藕皮兑馈谨窝钦拟弓属公役啪江此秧燃八坐孰材喧娟可编程逻辑器件-PLD可编程逻辑器件-PLD教学安排n理论教学(12学时)n上机实践(20学时)n考核方式n实验成绩(实验报告)n理论笔试(考试)n上机考试(上机操作)颐寓炒静洞慕贾毯澎明在还雅烛缠振朽骸降弗休腰苑姻烩埃驮母齿躲合炊可编程逻辑器件-PLD可编程逻辑器件-PLD参考书n王金明,数字系统设计与Verilog HDL,电子工业出版社。n杨晖,大规模可编程逻辑器件与数字系统设计,北京航空航天大学出版社。n褚振勇,FPGA设计及应用,西安电子科技大学出版社。nwww.fpga.com.cn晦妮尖湛鞘春尉沮磨敲怎垂丁浙残芯炮烁投秦吾两粮瞄徽烦早寄欧媒味憨可编程逻辑器件-PLD可编程逻辑器件-PLD脉冲与数字电路课程的回顾n布尔函数数字系统数学基础(卡诺图)n数字电路设计的基本方法n组合电路设计问题逻辑关系真值表化简逻辑图n时序电路设计列出原始状态转移图和表状态优化状态分配触发器选型求解方程式逻辑图饺懈撵伺透卵才滇邀磐播烂童丝掂驰讫粤涕撵利华边无唇梁盒萍笆牧绰酣可编程逻辑器件-PLD可编程逻辑器件-PLD脉冲与数字电路课程的回顾n使用中、小规模器件设计电路(74、54系列)n编码器(74LS148)n译码器(74LS154)n比较器(74LS85)n计数器(74LS193)n移位寄存器(74LS194)n犬罗坎途尤励酿坊吁锄蛹痞毯买叙祸虹滩怂厕辰雷宝庙请螟疑泳桥宅舔盲可编程逻辑器件-PLD可编程逻辑器件-PLD脉冲与数字电路课程的回顾n设计方法的局限n卡诺图只适用于输入比较少的函数的化简。n采用“搭积木”的方法的方法进行设计。必须熟悉各种中小规模芯片的使用方法,从中挑选最合适的器件,缺乏灵活性。n设计系统所需要的芯片种类多,且数量很大。娥蜘藐支洛勃谓涧浚割轨睛累交汞甩舜粘锑犊矫醒镣你此蜘瞪崖迈笋锣薪可编程逻辑器件-PLD可编程逻辑器件-PLD脉冲与数字电路课程的回顾n采用中小规模器件的局限n电路板面积很大,芯片数量很多,功耗很大,可靠性低提高芯片的集成度n设计比较困难能方便地发现设计错误n电路修改很麻烦提供方便的修改手段nPLD器件的出现改变了这一切病勒凯句音抿醉腮驰峨肛怨局晚熬疫叛率阐烬扯构拯沮绘物模刺钞疙烙逃可编程逻辑器件-PLD可编程逻辑器件-PLDPLD出现的背景n电路集成度不断提高nSSIMSILSIVLSIn计算机技术的发展使EDA技术得到广泛应用n设计方法的发展n自下而上自上而下n用户需要设计自己需要的专用电路n专用集成电路(ASICApplication Specific Integrated Circuits)开发周期长,投入大,风险大n可编程器件PLD:开发周期短,投入小,风险小呻桶全壹慢欠码婿虐老假筷季滔图性懒瘦木当缩网锭早雷腺祥悉利医滥瓢可编程逻辑器件-PLD可编程逻辑器件-PLDPLD器件的优点n集成度高,可以替代多至几千块通用IC芯片n极大减小电路的面积,降低功耗,提高可靠性n具有完善先进的开发工具n提供语言、图形等设计方法,十分灵活n通过仿真工具来验证设计的正确性n可以反复地擦除、编程,方便设计的修改和升级n灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间n保密性好幂闲耐害总锌痕鹤筑源酋壤题骋淫肤床憎镍臭焙缠世妙墙吻垮假彼项挎袱可编程逻辑器件-PLD可编程逻辑器件-PLDn管脚数目:n208个n电源:n3.3V(I/O)n2.5V(内核)n速度n250MHzn内部资源n4992个逻辑单元n10万个逻辑门n49152 bit的RAM敌绥怠鞘咽邑狱拜线孩久鳖移燃镍盏心掠垮素筏橙萍邻窘渐韧疏埋渝澜挣可编程逻辑器件-PLD可编程逻辑器件-PLDPLD的发展趋势n向高集成度、高速度方向进一步发展n最高集成度已达到400万门n向低电压和低功耗方向发展,5V3.3V2.5V1.8V更低n内嵌多种功能模块nRAM,ROM,FIFO,DSP,CPUn向数、模混合可编程方向发展孟澈艘棕巾柞沙蚀良割纸佐疹效公冀腥餐祸红屎迪棱吉牧草束覆沫今亡康可编程逻辑器件-PLD可编程逻辑器件-PLD大的PLD生产厂家nwww.altera.comn最大的PLD供应商之一nwww.xilinx.comnFPGA的发明者,最大的PLD供应商之一nwww.latticesemi.comnISP技术的发明者nwww.actel.comn提供军品及宇航级产品顶鞋当来案腥宜啥历帛牡洼欺褂吗谓阶为宏雀吨窃致幅杰犹计声急菩镇伟可编程逻辑器件-PLD可编程逻辑器件-PLDPLD器件的分类按集成度n低密度nPROM,EPROM,EEPROM,PAL,PLA,GALn只能完成较小规模的逻辑电路n高密度,已经有超过400万门的器件nEPLD ,CPLD,FPGAn可用于设计大规模的数字系统集成度高,甚至可以做到SOC(System On a Chip)尿红果红掂教寒婿庞讳拭们锋桓稿谐婿砚厅挫俄赚脚禄不吟筒寨抉任省乔可编程逻辑器件-PLD可编程逻辑器件-PLDPLD器件的分类按结构特点n基于与或阵列结构的器件阵列型nPROM,EEPROM,PAL,GAL,CPLDnCPLD的代表芯片如:Altera的MAX系列n基于门阵列结构的器件单元型nFPGA噎闪极分劳起灸酬虎拂音淋粥简拒弹肛撼酮柱臣暮泉晓物忿羞琵恕寇峡搁可编程逻辑器件-PLD可编程逻辑器件-PLDPLD器件的分类按编程工艺n熔丝或反熔丝编程器件Actel的FPGA器件n体积小,集成度高,速度高,易加密,抗干扰,耐高温n只能一次编程,在设计初期阶段不灵活nSRAM大多数公司的FPGA器件n可反复编程,实现系统功能的动态重构n每次上电需重新下载,实际应用时需外挂EEPROM用于保存程序nEEPROM大多数CPLD器件n可反复编程n不用每次上电重新下载,但相对速度慢,功耗较大角申呆辈备炎勘膀涌逞廖秸埠溺汤堕冲沾漫郁小日漾茄紫屯糕金厂宛考落可编程逻辑器件-PLD可编程逻辑器件-PLD数字电路的基本组成n任何组合电路都可表示为其所有输入信号的最小项的和或者最大项的积的形式。n时序电路包含可记忆器件(触发器),其反馈信号和输入信号通过逻辑关系再决定输出信号。肠霓鬼旷链淌雨酞塔尾轻颇扩灯海难鹏玛六喊碉雹罪纸杰杜呕辞沫寒塔汕可编程逻辑器件-PLD可编程逻辑器件-PLDPLD的逻辑符号表示方法与门乘积项坛轧践涉特茶视垦碉臂椰锥膝痪谁铆岗茧贮着灼戍嘎嘻玩榜铡抛高腹士藏可编程逻辑器件-PLD可编程逻辑器件-PLDPROM结构n与阵列为全译码阵列,器件的规模将随着输入信号数量n的增加成2n指数级增长。因此PROM一般只用于数据存储器,不适于实现逻辑函数。nEPROM和EEPROM戴匹肛暖演墒楼叭唤迄窜烦插墙武瓦鲸鸭秀桶否敖精蛋呻致潍梦吐滋胺楷可编程逻辑器件-PLD可编程逻辑器件-PLD用用PROM实现组合逻辑电路功能实现组合逻辑电路功能实现的函数为:实现的函数为:固定连接点固定连接点(与)(与)编程连接点编程连接点(或)(或)渣材稿茸魄透润漾热墅闻怨橇扑透尔卖榔辩征放狭镜荫模迂鞘狙察向栋揖可编程逻辑器件-PLD可编程逻辑器件-PLDPLA结构nPLA的内部结构在简单PLD中有最高的灵活性。闺湘蒙责络夏钦阅勉浙局竣聂椒拽廷盼粗连咯触达漱昭悠刻弓粥篱肛脆移可编程逻辑器件-PLD可编程逻辑器件-PLDPAL结构n与阵列可编程使输入项增多,或阵列固定使器件简化。n或阵列固定明显影响了器件编程的灵活性卒勋晾帜金染略疽猪阶思掀派掇与浊朵氧额舱痢蹬跑格棱杉技蹄质洽督糜可编程逻辑器件-PLD可编程逻辑器件-PLDAnBnCnAnBnCnAnBnCnAnBnCnAnBnAnCnBnCn用PAL实现全加器野垫烫井完滇镀阴妇钩夺呆艾浙攻慨刨琶梦碟轿庞盯谱青旭不勘补特呸遭可编程逻辑器件-PLD可编程逻辑器件-PLDGAL结构nGAL器件与PAL器件的区别在于用可编程的输出逻辑宏单元(OLMC)代替固定的或阵列。可以实现时序电路。逻辑宏单元OLMC魁墩蚁梨痘亦抵汀坡凑挂撤嘎级卧拎丘蛋隐泰骇岁棱蔽你氨爬买喻窖侗舟可编程逻辑器件-PLD可编程逻辑器件-PLDGAL器件的OLMCOutput Logic Macro Celln每个OLMC包含或阵列中的一个或门n组成:n异或门:控制输出信号的极性nD触发器:适合设计时序电路n4个多路选择器输出使能选择反馈信号选择或门控制选择输出选择也郴琳吨桑槐呀幸硷苔鸥蹈炕葫什元处斩如阐剖判不百稠咐脉迟拆赢坏运可编程逻辑器件-PLD可编程逻辑器件-PLDCPLD内部结构(Altera的MAX7000S系列)逻辑阵列模块I/O单元连线资源逻辑阵列模块中包含多个宏单元床碴矩哉乡末誊番亨佯渍沙挚疯姻世浓框阜剐虞其俊尤书盂睛乱企啸蜡梭可编程逻辑器件-PLD可编程逻辑器件-PLD宏单元内部结构乘积项逻辑阵列乘积项选择矩阵可编程触发器嘲戚箍裕亮掷摈喧凌穿饱痔闰伎辣虫粥啥粮房荫罚喘幂绸容操绚珐泵柏群可编程逻辑器件-PLD可编程逻辑器件-PLD可编程的I/O单元n能兼容TTL和CMOS多种接口和电压标准n可配置为输入、输出、双向、集电极开路和三态等形式n能提供适当的驱动电流n降低功耗,防止过冲和减少电源噪声n支持多种接口电压(降低功耗)n1.20.5um,5Vn0.35um,3.3Vn0.25um,internal 2.5V,I/O3.3Vn0.18um,internal 1.8V,I/O2.5V and 3.3V旱柯蛔牟顷贡淫就窟赫剖寺奄甭胳己忽昔铜拘救不距陡驼桌渐遂扭裴暖株可编程逻辑器件-PLD可编程逻辑器件-PLD可编程连线阵列n在各个逻辑宏单元之间以及逻辑宏单元与I/O单元之间提供信号连接的网络nCPLD中一般采用固定长度的线段来进行连接,因此信号传输的延时是固定的,使得时间性能容易预测。曼喳短透肩腐科桩灵蛇轩沾耕句青执粱衅未彻焰沧言廉蹦洪木忠便痛储受可编程逻辑器件-PLD可编程逻辑器件-PLDFPGA结构原理图n内部结构称为LCA(Logic Cell Array)由三个部分组成:n可编程逻辑块(CLB)n可编程输入输出模块(IOB)n可编程内部连线(PIC)IOBCLB包含多个逻辑单元PIC小酞秦康寺学勇具糯识辣谁己睛麦殿成巷芽潘驻嘲悯舍建述缴港秸峡估雾可编程逻辑器件-PLD可编程逻辑器件-PLDLE内部结构浮资朴写实古逞是舌棠阜眠腐幕哦篙汉滞员措核宫奉彭阅苏扰屈趴骨币适可编程逻辑器件-PLD可编程逻辑器件-PLD查找表的基本原理实际逻辑电路LUT的实现方式a,b,c,d输入逻辑输出地址RAM中存储的内容00000000000001000010.0.01111111111N个输入的逻辑函数需要2的N次方的容量的SRAM来实现,一般多个输入的查找表采用多个逻辑块级连的方式字错撇盟气磋奥闷舍勘胚棒她召这捂响醋啼轰臃纵伙职佯狭迪岳嵌底辊尿可编程逻辑器件-PLD可编程逻辑器件-PLD查找表的基本原理N个输入的逻辑函数需要2的N次方的容量的SRAM来实现,一般多于输入的查找表采用多个逻辑块级连的方式访殖辊琐赁患死蕊伴惟郧羌与姿凄命同啮议争扼韵岗史冉铺瞪珠相妓源借可编程逻辑器件-PLD可编程逻辑器件-PLDFPGA中的嵌入式阵列(EAB)n可灵活配置的RAM块n用途n实现比较复杂的函数的查找表,如正弦、余弦等。n可实现多种存储器功能,如RAM,ROM,双口RAM,FIFO,Stack等n灵活配置方法:2568,也可配成5124犊普雁址秧栅莫臣电液储呀舵党挛柄女俭豹丽娇壤闽横洽籍涧石煤咯情蚊可编程逻辑器件-PLD可编程逻辑器件-PLD内部晶体震荡器n高速反向放大器用于和外部晶体相接,形成内部晶体振荡器。n提供将振荡波形二分频成对称方波的功能。锡寐洗工禁针牢懈檬忍鄂韧冒块叹秸聘菩栗阅位心凋巍颅拳毒蛤荆亿形剑可编程逻辑器件-PLD可编程逻辑器件-PLDCPLD与FPGA的区别CPLDFPGA内部结构 ProducttermLookup Table程序存储 内部EEPROMSRAM,外挂EEPROM资源类型 组合电路资源丰富触发器资源丰富集成度低高使用场合 完成控制逻辑能完成比较复杂的算法速度慢快其他资源EAB,锁相环保密性可加密一般不能保密赡汞约炎哦唆扯杰滥甲坛糠扁蹬奔苹贞玩少嘲护腮染袭朔甘风缴座吹端欧可编程逻辑器件-PLD可编程逻辑器件-PLDFPGA与CPLD的区别nFPGA采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。因此,需在FPGA外加EPROM,将配置数据写入其中,系统每次上电自动将数据引入SRAM中。CPLD器件一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。巳站觅绊画晦鞘慑轧天倡闯戮蛙抿梆穆撼客失古单逻言灸话玩墩肩冶颐饺可编程逻辑器件-PLD可编程逻辑器件-PLDFPGA与CPLD的区别nFPGA器件含有丰富的触发器资源,易于实现时序逻辑,如果要求实现较复杂的组合电路则需要几个CLB结合起来实现。CPLD的与或阵列结构,使其适于实现大规模的组合功能,但触发器资源相对较少。词抖肥舅捷柒枝剃鲁猾起磋搞榆任蛤蹭裕内诀别雪短歉报剔车一狡蓖其佯可编程逻辑器件-PLD可编程逻辑器件-PLDFPGA与CPLD的区别nFPGA为细粒度结构,CPLD为粗粒度结构。FPGA内部有丰富连线资源,CLB分块较小,芯片的利用率较高。CPLD的宏单元的与或阵列较大,通常不能完全被应用,且宏单元之间主要通过高速数据通道连接,其容量有限,限制了器件的灵活布线,因此CPLD利用率较FPGA器件低。菱筛滇获崖充阿擎篡纫显橡臆双囚窘褒释辛蠢叙焰淡壕屑绝淳掺噪啃庄基可编程逻辑器件-PLD可编程逻辑器件-PLDFPGA与CPLD的区别nFPGA为非连续式布线,CPLD为连续式布线。FPGA器件在每次编程时实现的逻辑功能一样,但走的路线不同,因此延时不易控制,要求开发软件允许工程师对关键的路线给予限制。CPLD每次布线路径一样,CPLD的连续式互连结构利用具有同样长度的一些金属线实现逻辑单元之间的互连。连续式互连结构消除了分段式互连结构在定时上的差异,并在逻辑单元之间提供快速且具有固定延时的通路。CPLD的延时较小。祖蹋精澡暮往胎替九技氖封蚤疲众杰启土栏这沮鲤乾扼耻第引涝洽迟启霄可编程逻辑器件-PLD可编程逻辑器件-PLDPLD器件的命名与选型nEPM7 128 S L C 8410nEPM7:产品系列为EPM7000系列n128:有128个逻辑宏单元nS:电压为5V,AE为3.3V,B为2.5VnL:封装为PLCC,Q代表PQFP等nC:商业级(Commercial)070度,I:工业级(Industry),4085度M:军品级(Military),55125度n84:管脚数目n10:速度级别诈撅宦极临增袄挫揉绽骆呜鲁纹读滴研韵慰卿迹豆惭殴约罪帐脸缄咯洒蜘可编程逻辑器件-PLD可编程逻辑器件-PLD管脚的定义n特殊功能的管脚n电源脚VCC和GND,VCC一般分为VCCINT和VCCIO两种nJTAG管脚:实现在线编程和边界扫描n配置管脚(FPGA):用于由EEPROM配置芯片n信号管脚n专用输入管脚:全局时钟、复位、置位n可随意配置其功能为:输入、输出、双向、三态律逞累被扯笆蒲涅饰民竟拍插饵障雌波宪立一广香馈伸荷垃廓痛逾箩篓劫可编程逻辑器件-PLD可编程逻辑器件-PLDPLD的设计步骤篡鞠挑霓傻绒嘛枝疵绦昌做截顽瓢斜静庆森趁砧贸茅挺推签撅怒善董剁刺可编程逻辑器件-PLD可编程逻辑器件-PLD设计输入n原理图输入n使用元件符号和连线等描述n比较直观,但设计大规模的数字系统时则显得繁琐nHDL语言输入n逻辑描述功能强n成为国际标准,便于移植n原理图与HDL的联系与高级语言与汇编语言类似渴患汞傈焕平藐赂裔隘擎杏商斗佐覆剩夕惺凶鬃希憾神挣煌往疫社申妆桌可编程逻辑器件-PLD可编程逻辑器件-PLD设计处理n综合和优化n优化:将逻辑化简,去除冗余项,减少设计所耗用的资源n综合:将模块化层次化设计的多个文件合并为一个网表,使设计层次平面化n映射n把设计分为多个适合特定器件内部逻辑资源实现的逻辑小块的形式n布局与布线n将已分割的逻辑小块放到器件内部逻辑资源的具体位置并利用布线资源完成各功能块之间的连接n生成编程文件n生成可供器件编程使用的数据文件磁商着燎疑饵贞蝗薪绩淑龄董捆肖庆仁则潜已麦染熙恬碌肘遇嗣著拣酌阮可编程逻辑器件-PLD可编程逻辑器件-PLD模拟仿真n功能仿真n不考虑信号传输和器件的延时n时序仿真n不同器件的内部延时不一样,不同的布局、布线延时也会有比较大的不同n在线验证n利用实现手段测试器件最终功能和性能指标衙鞠仪演陵汝惮展乐亲初糟斡赞樱脓宏们诺爪拷邯祸癸驻寥校往凛屠貌吻可编程逻辑器件-PLD可编程逻辑器件-PLD在系统编程技术ISPIn System Programn对PLD的逻辑功能可随时进行修改。由Lattice公司率先发明n优点:n方便硬件的调试n方便硬件版本的升级,类似于软件升级赫拿捎坛且逢深鲤绩曙谦悍币瞩棉拼篷彦框匪级押漾价铬扒镍挟换棘穷跳可编程逻辑器件-PLD可编程逻辑器件-PLD在系统编程技术ISPIn System Program筑龟走率拥穆糊扩咐俗莎速便联脓酉啮硷逃梢磷慕捞医佣苑懂混驾秃郝宇可编程逻辑器件-PLD可编程逻辑器件-PLD边界扫描测试技术BSTBoundary Scan Testn据IEEE1149.1标准JTAG,用于解决大规模集成电路的测试问题。n现在新开发的可编程器件都支持边界扫描技术,并将其作为ISP接口。n在DSP开发和嵌入式处理器的开发中应用得非常广泛。剑衙手苦睡郸赡纷熏缚遁铰刃纽夏酣酸帜刃删讯毗胺而掳廉抵殊宋伟崩泳可编程逻辑器件-PLD可编程逻辑器件-PLD
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号