资源预览内容
第1页 / 共19页
第2页 / 共19页
第3页 / 共19页
第4页 / 共19页
第5页 / 共19页
第6页 / 共19页
第7页 / 共19页
第8页 / 共19页
第9页 / 共19页
第10页 / 共19页
亲,该文档总共19页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
第第4 4讲讲 VHDLVHDL运算操作符运算操作符 l赋值运算符赋值运算符l逻辑运算符逻辑运算符l关系运算符关系运算符l算术运算符算术运算符l移位运算符移位运算符l并置运算符并置运算符VHDL操作符操作符与其他程序设计语言相似,与其他程序设计语言相似,VHDL中的表达式也是中的表达式也是由运算符将基本元素连接起来形成。由运算符将基本元素连接起来形成。在在VHDL语言中共有语言中共有6类操作符类操作符第第4 4讲讲 VHDLVHDL运算操作符运算操作符 在在VHDL语语言言中中,共共有有6种种逻逻辑辑运运算算符符,他他们们分分别是:别是: NOT 取反;取反; AND 与;与; OR 或;或; NAND 与非;与非; NOR 或非;或非; XOR 异或。异或。 逻辑运算符逻辑运算符 这这6种逻辑运算符可以对种逻辑运算符可以对 “BIT”和和“STD_LOGIC ”等逻等逻辑型数据、辑型数据、“STD_LOGIC _VECTOR”逻辑型数组及逻辑型数组及布尔布尔数据数据进行逻辑运算。进行逻辑运算。必须注意必须注意: : 运算符的左边和右运算符的左边和右边,以及代入的信号的数据类边,以及代入的信号的数据类型必须是相同的。型必须是相同的。 第第4 4讲讲 VHDLVHDL运算操作符运算操作符 【例例1】 SIGNAL a ,b,c : STD_LOGIC_VECTOR (3 DOWNTO 0) ; SIGNAL d,e,f,g : STD_LOGIC_VECTOR (1 DOWNTO 0) ; SIGNAL h,i,j,k : STD_LOGIC ; SIGNAL l,m,n,o,p : BOOLEAN ; . a=b AND c; -b、c相与后向相与后向a赋值,赋值,a、b、c的数据类型同属的数据类型同属4位长的位矢量位长的位矢量 d=e OR f OR g ; - 两个操作符两个操作符OR相同,不需括号相同,不需括号 h=(i NAND j)NAND k ; - NAND不属上述三种算符中的一种,必须加括号不属上述三种算符中的一种,必须加括号 l=(m XOR n)AND(o XOR p); - 操作符不同,必须加括号操作符不同,必须加括号 h=i AND j AND k ; - 两个操作符都是两个操作符都是AND,不必加括号,不必加括号 h=i AND j OR k ; - 两个操作符不同,未加括号,表达错误两个操作符不同,未加括号,表达错误 a=b AND e ; - 操作数操作数b与与 e的位矢长度不一致,表达错误的位矢长度不一致,表达错误 h=i OR l ; - i 的数据类型是位的数据类型是位STD_LOGIC,而,而l的数据类型是的数据类型是 . - 布尔量布尔量BOOLEAN,因而不能相互作用,表达错误。,因而不能相互作用,表达错误。 逻辑操作符逻辑操作符第第4 4讲讲 VHDLVHDL运算操作符运算操作符 关系操作符关系操作符【例例2】 ENTITY relational_ops_1 IS PORT ( a,b : IN BITVECTOR (0 TO 3) ; output : OUT BOOLEAN) ; END relational_ops_1 ; ARCHITECTURE example OF relational_ops_1 IS BEGIN output =(a=b) ; END example ; 【例例3】 ENTITY relational_ops_2 IS PORT (a,b : IN INTEGER RANGE 0 TO 3 ; output : OUT BOOLEAN) ; END relational_ops_2 ; ARCHITECTURE example OF relational_ops_2 IS BEGIN output=b) ; END example ;六种关系运六种关系运算操作符:算操作符:“ = = ”( (等于等于) )、“/=/=”( (不等于不等于) )、“ ”( (大于大于) )、“ =”( (大于等大于等于于) )“=”( (小于等于小于等于) )第第4 4讲讲 VHDLVHDL运算操作符运算操作符 VHDL VHDL语言中有语言中有5 5类类算术运算符,他们分别是:算术运算符,他们分别是:求和操作符:求和操作符: 、求积操作符求积操作符:*:*、/ /、MODMOD、REMREM符号操作符:符号操作符: 、混合操作符混合操作符: *、ABSABS移位操作符:移位操作符:SLLSLL、SRLSRL、SLASLA、SRASRA、ROLROL、RORROR 算术运算符算术运算符第第4 4讲讲 VHDLVHDL运算操作符运算操作符 求和操作符求和操作符【例例5】VARIABLE a,b ,c ,d ,e ,f : INTEGER RANGE 0 TO 255 ;.a := b + c ; d := e f ; 【例例4】PROCEDURE adding_e (a :IN INTEGER ; b :INOUT INTEGER )IS.b := a + b ; 第第4 4讲讲 VHDLVHDL运算操作符运算操作符 【例例6】 PACKAGE example_arithmetic IS TYPE small_INt IS RANGE 0 TO 7 ; END example_arithmetic ; USE work.example_arithmetic.ALL ; ENTITY arithmetic IS PORT (a,b : IN SMALL_INT ; c : OUT SMALL_INT) ; END arithmetic ; ARCHITECTURE example OF arithmetic IS BEGIN c = a + b ; END example ; 第第4 4讲讲 VHDLVHDL运算操作符运算操作符 求积操作符求积操作符符号操作符符号操作符求积操作符包括求积操作符包括 * * ( (乘乘) )、 / (/ (除除) )、MOD(MOD(取模取模) )和和REM(REM(取余取余) )四种操作符。四种操作符。符号操作符符号操作符“+ +”和和“”的操作数只有一的操作数只有一个。个。第第4 4讲讲 VHDLVHDL运算操作符运算操作符 混合操作符混合操作符【例例7】SIGNAL a,b: INTEGER RANGE -8 to 7;SIGNAL c: INTEGER RANGE 0 to 15;SIGNAL d: INTEGER RANGE 0 to 3;a = ABS(b); c = 2 * d ;混合操作符包括乘方混合操作符包括乘方“*”操作符和操作符和取绝对值取绝对值“ABSABS”操作符两种操作符两种第第4 4讲讲 VHDLVHDL运算操作符运算操作符 移位操作符移位操作符移位操作符的语句格式是: 标识符标识符 移位操作符移位操作符 移位位数移位位数 ;SLL(逻辑左移)(逻辑左移)SRL(逻辑右移)(逻辑右移)SLA(算术左移)(算术左移)SRA(算术右移)(算术右移)ROL(逻辑循环左移)(逻辑循环左移)ROR(逻辑循环右移)逻辑循环右移) 第第4 4讲讲 VHDLVHDL运算操作符运算操作符 【例例8】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY decoder3to8 IS port ( input: IN STD_LOGIC_VECTOR(2 DOWNTO 0); output: OUT BIT_VECTOR (7 DOWNTO 0);END decoder3to8;ARCHITECTURE behave OF decoder3to8 ISBEGINoutput = 00000001 SLL CONV_INTEGER(input); -被移位部分是常数!被移位部分是常数!END behave;第第4 4讲讲 VHDLVHDL运算操作符运算操作符 并置运算符并置运算符SIGNAL g,h,i:STD_LOGIC;SIGNAL c,d,e:STD_LOGIC _VECTOR(1 TO 0);); d = i & NOT h; a = c & d; 元素与元素并置,形成长度为元素与元素并置,形成长度为2的数组的数组数组与数组并置,形成长度为数组与数组并置,形成长度为4的数组的数组& 连接连接 第第4 4讲讲 VHDLVHDL运算操作符运算操作符 赋值运算符赋值运算符 赋值运算符用来给信号、变量和常量赋值。赋值运算符用来给信号、变量和常量赋值。 = 用于对用于对signal赋值赋值 := 用于对用于对variable,constant 和和 generic 赋赋 值,也可用于初始赋值;值,也可用于初始赋值; = 给矢量中某些位赋值,或对某些位之外给矢量中某些位赋值,或对某些位之外 的其它位赋值。的其它位赋值。第第4 4讲讲 VHDLVHDL运算操作符运算操作符 例例 首先定义下列信号和变量首先定义下列信号和变量Signal x: std_logic;Varibale y: std_logic_vector(3 downto 0);Signal w: std_logic_vector(0 to 7); X= 1; Y:= “0000”; W= “10000000”; W1,others=0);-最低位是1,其它位0第第4 4讲讲 VHDLVHDL运算操作符运算操作符 即用户自定义操作符,即用户自定义操作符,可以与预定义的操作符具有相可以与预定义的操作符具有相同的名称。同的名称。对已存在的操作符重新定义,可对已存在的操作符重新定义,可进行不同类型进行不同类型操作数之间的运算操作数之间的运算。例:对一个整数和一个例:对一个整数和一个1 1位的二进制数进行加法运算位的二进制数进行加法运算 function “+” (a: integer; b: bit) return integer is begin if (b=1) then return a+1; else return a; end if;end “+”; 重载操作符重载操作符第第4 4讲讲 VHDLVHDL运算操作符运算操作符 运算符运算符 NOT,ABS,*,/,MOD,REM +(正号),(负号)正号),(负号)+,& SLL,SLA,SRL,SRA,ROL,ROR =,/=,= AND,OR,NAND,NOR,XOR,XNOR VHDL操作符的优先顺序操作符的优先顺序 最高优先级最高优先级最低优先级最低优先级优先级优先级运算操作符总汇列表如下:运算操作符总汇列表如下:第第4 4讲讲 VHDLVHDL运算操作符运算操作符 VHDL操作符操作符第第4 4讲讲 VHDLVHDL运算操作符运算操作符 接上页接上页第第4 4讲讲 VHDLVHDL运算操作符运算操作符
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号