资源预览内容
第1页 / 共93页
第2页 / 共93页
第3页 / 共93页
第4页 / 共93页
第5页 / 共93页
第6页 / 共93页
第7页 / 共93页
第8页 / 共93页
第9页 / 共93页
第10页 / 共93页
亲,该文档总共93页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
电子技术基础数字部分电子技术基础数字部分期末复习一、基础知识一、基础知识第一二三章第一二三章1.数制的转换数制的转换2.逻辑函数代数法化简逻辑函数代数法化简、证明、证明3.逻辑函数卡洛图化简逻辑函数卡洛图化简4.基本门电路、基本门电路、OC门、三态门、传输门电路分析门、三态门、传输门电路分析二、组合逻辑电路二、组合逻辑电路第四章第四章5.组合逻辑电路的分析组合逻辑电路的分析门电路或者功能器件组成的电路门电路或者功能器件组成的电路6.组合逻辑电路的设计组合逻辑电路的设计用门电路或者功能器件设计用门电路或者功能器件设计第 3 页三、时序逻辑电路三、时序逻辑电路第五六章第五六章1.触发器触发器RS、JK、D触发器特性方程触发器特性方程状态转换图状态转换图做各种波形图做各种波形图2.同步时序逻辑电路的分析同步时序逻辑电路的分析逻辑方程逻辑方程状态表状态表状态图状态图功能功能3.时序逻辑电路功能器件及应用时序逻辑电路功能器件及应用集成计数器设计各种计数器和分频器集成计数器设计各种计数器和分频器寄存器及集成寄存器的分析寄存器及集成寄存器的分析4.同步时序逻辑电路的设计同步时序逻辑电路的设计(触发器设计寄存器、计数器)(触发器设计寄存器、计数器)集成计数器、寄存器的应用集成计数器、寄存器的应用四、脉冲信号产生与整形四、脉冲信号产生与整形第八章第八章单稳单稳多谐振荡器多谐振荡器施密特触发器的概念施密特触发器的概念555定时器的结构定时器的结构工作原理工作原理555定时器的应用定时器的应用电路构成电路构成工作波形工作波形计算公式计算公式所学中规模集成电路汇集所学中规模集成电路汇集1.优先编码器CD4532的示意框图、引脚图2. 74HC139集成译码器 3. 74HC138(74LS138)集成译码器 引脚图逻辑图4.CMOS七段显示译码器74HC4511 5. 5. 集成二集成二 十进制译码器十进制译码器 7442744274LS151功能框图D7YYE74HC151D6D5D4D3D2D1D0S2S1S06.集成电路数据选择器8选1数据选择器74HC151集成双集成双4选选1数据选择器数据选择器74LS15374LS857.集成数值比较器74LS85的功能74LS85的引脚图 74LS85是四位数值比较器 ,其工作原理和两位数值比较器相同。 74LS85的示意框图基本基本SR锁存器锁存器逻辑门控控SR锁存器存器74HC/HCT74双双D触触发器器74LS74D触发器触发器 D 触发器JK 触发器T 触发器RS 触发器不同逻辑功能的触发器国际逻辑符号不同逻辑功能的触发器国际逻辑符号7D3D2D1D0DI3*DI2*DI1*DI0*HHH6H HLHH5LLLHH4HHHLH3LLHLH2LLH1LLLLLDI3DI2DI1DI0左移DSL右移DSRS0S1行并行输入时钟CP串行输入控制信号清零输 出输 入CMOS4位位双双向向移移位位寄寄存存器器74HC/HCT194中中规模集成模集成电路路74HC/HCT393中集成了两个中集成了两个4位异步二位异步二进制制计数数器在器在5V、25工作条件下,工作条件下,74HC/HCT393中每中每级触触发器的器的传输延延迟时间典型典型值为6ns。74HC/HCT393的的逻辑符号符号74LVC161逻辑功能表逻辑功能表输输入入输输出出清零清零 预置预置使能使能时时钟钟预置数据输入预置数据输入计计数数进进位位CEPCETCPD3D2D1D0Q3Q2Q1Q0TCLLLLLLHLD3D2D1D0D3D2D1D0*HHL保保持持*HHL保保持持*HHHH计计数数*异步清零异步清零同步置数同步置数74LVC163与与161基本相同。不过它是同步清零、同步置数。基本相同。不过它是同步清零、同步置数。第一、二章习题第一、二章习题第 17 页第 18 页例:将下列二进制数转换为十进制数例:将下列二进制数转换为十进制数 (1)10110.0101(2)1101101.111解:解:(1)(10110.0101)2=124+122+121+12-2+12-4=(22.3125)10(2)(1101101.111)2=126+125+123+122+120+12-1+12-2+12-3=(109.875)10例:将十进制数例:将十进制数225.246转换为二、八和十六进制数转换为二、八和十六进制数解:解:(1)(225.246)10=(11100001.011)2 (2)(225.246)10=(341.175)8 (3)(225.246)10=(E1.3E)16 第 19 页例:将下列十进制数转换例:将下列十进制数转换BCD码码 (1)(526.72)10=(?)余余3码码 (2)(645.89)10=(?)5421BCD解:解:(1)(526.72)10=(1000,0101,1001.1010,0101)余余3码码(2)(645.89)10=(1001,0100,1000.1011,1100)5421BCD 例:某数例:某数(1100,1101,1110.1111)其对应的十进制数其对应的十进制数(678.9)10,问该数是什么问该数是什么BCD码码 解:解:(1100,1101,1110.1111)2421BCD=(678.9)10 第 20 页例:用公式法证明下列等式例:用公式法证明下列等式 解:解:A B C=A B C 例:用公式法证明下列等式例:用公式法证明下列等式 解:解:第 21 页例:用公式法化简例:用公式法化简 解:解:用对偶函数的方法用对偶函数的方法 第 22 页例:用公式法化简例:用公式法化简 解:解:第 23 页例:将下列各函数用与非门实现例:将下列各函数用与非门实现 解:解:第 24 页例:将下列各函数用或非门实现例:将下列各函数用或非门实现 解:解:用圈用圈0的方法的方法第 25 页例:用卡诺图将下列含有无关项的逻辑函数化简为最简例:用卡诺图将下列含有无关项的逻辑函数化简为最简“与或与或”式和最简式和最简“或与或与”表达式。表达式。 变量变量A,B,C,D不可能出现相同的取值不可能出现相同的取值 解:解:第 26 页例:用卡诺图将下列含有无关项的逻辑函数化简为最简例:用卡诺图将下列含有无关项的逻辑函数化简为最简“与或与或”式和最简式和最简“或与或与”表达式。表达式。 约束条件约束条件A B=0 解:解:第三章习题第三章习题第 27 页第 28 页例:已知例:已知CMOS电路和电路和输入输入A,B及控制端及控制端C的波形的波形,试画出试画出Q端的波形端的波形 解:解: 当当C=0时,时,TG1导通、导通、TG2截止,截止, 当当C=1时,时,TG2导通、导通、TG1截止,截止, 第 29 页 例:试写出下图所示的逻辑功能例:试写出下图所示的逻辑功能 解:解:第 30 页例:试写出下图所示的逻辑功能例:试写出下图所示的逻辑功能 解:解:第 31 页例:试说出如下各例:试说出如下各TTL电路输出电平(高、低、高阻)电路输出电平(高、低、高阻) 低低高高高高低低高阻高阻高阻高阻低低低低第 32 页例:试说出如下各例:试说出如下各CMOS电路输出电平(高、低、高阻)电路输出电平(高、低、高阻) 高高低低低低第 33 页例:试按输出逻辑表达式连接例:试按输出逻辑表达式连接TTL电路电路 VCC GND VCC 第四章习题第四章习题第 34 页第 35 页例:由与非门构成的表决电路如图所示,例:由与非门构成的表决电路如图所示,ABCD表示表示4个人,个人,L=1时表示决议通过,试分析(时表示决议通过,试分析(1)通过决议有几种情况;)通过决议有几种情况;(2)谁的权力最大。)谁的权力最大。解:解:(2)C的权力最大。的权力最大。第 36 页例:已知某组合电路的输入例:已知某组合电路的输入ABC和输出和输出F的波形如图所示,试的波形如图所示,试写出写出F的最简与或表达式。的最简与或表达式。解:解:第 37 页例:试说明如下电路的功能。例:试说明如下电路的功能。解:解: 写出逻辑表达式:写出逻辑表达式:第 38 页得到逻辑真值表:得到逻辑真值表:当当ABC取值全相同时,输出取值全相同时,输出0,否则输出,否则输出1,该电路为,该电路为“不一致不一致”判断电路判断电路第 39 页例:与非门组成的电路如图所示。试画出化简后用与非例:与非门组成的电路如图所示。试画出化简后用与非门组成的电路。门组成的电路。解:解: 写出逻辑表达式:写出逻辑表达式:第 40 页例:试说明如下电路的功能。例:试说明如下电路的功能。解:解:A=1时,时,G3,G4关闭,输出均为关闭,输出均为0;两三态门输出高阻,;两三态门输出高阻,此时此时C与与D间隔离。间隔离。A=0时,时,G3,G4打开,打开,B=1时时G4输出输出1,G3输出输出0,数据由,数据由C传向传向D;B=0时时G3输出输出1,G4输出输出0,数据由,数据由D传向传向C;带门控的双向传输电路。带门控的双向传输电路。第 41 页例:用红绿黄三个灯表示三台设备的工作情况,绿灯亮表示例:用红绿黄三个灯表示三台设备的工作情况,绿灯亮表示全部正常,红灯亮表示一台不正常,黄灯亮表示两台不正常,全部正常,红灯亮表示一台不正常,黄灯亮表示两台不正常,红黄灯同时亮表示全不正常,试选用合适芯片设计电路。红黄灯同时亮表示全不正常,试选用合适芯片设计电路。解:解:根据题意得到真值表根据题意得到真值表由真值表得到逻辑函数表达式由真值表得到逻辑函数表达式第 42 页选用译码器和与非门实现。选用译码器和与非门实现。第 43 页例:设计一个判断输入例:设计一个判断输入8421BCD码时能被码时能被2或或3整除的电路整除的电路解:解:根据题意得到真值表根据题意得到真值表利用卡诺图进行化利用卡诺图进行化简得到表达式:简得到表达式:逻辑电路:逻辑电路:第 44 页例:用例:用74LS138译码器构成如所示电路,写出输出译码器构成如所示电路,写出输出F的逻的逻辑表达式,列出真值表并说明电路功能。辑表达式,列出真值表并说明电路功能。解:解:由图可得逻辑函数表达式:由图可得逻辑函数表达式:列出真值表如下:列出真值表如下:电路的逻辑功能为:奇偶判别电路。电路的逻辑功能为:奇偶判别电路。 第 45 页例:用例:用8选选1数据选择器数据选择器74LS151构成如图所示电路,(构成如图所示电路,(1)写出输出写出输出F的逻辑表达式,(的逻辑表达式,(2)用与非门实现该电路;)用与非门实现该电路;(3)用译码器)用译码器74LS138和与非门实现该电路。和与非门实现该电路。 解:解:(2)图略;)图略;第 46 页(3)当)当D=1时,时,当当D=0时,时,第 47 页例:试将例:试将74LS85接成一个五位二进制数比较器。接成一个五位二进制数比较器。 解:解:可将高四位正常接入,最低位可利用级联的三个端子可将高四位正常接入,最低位可利用级联的三个端子 第 48 页例:用两个例:用两个4选选1数据选择器实现数据选择器实现L,允许使用反相器。,允许使用反相器。 解:解:7个变量,先尝试化简函数个变量,先尝试化简函数 第 49 页例:用例:用8选选1数据选择器数据选择器74LS151设计一个组合电路。该电路设计一个组合电路。该电路有有3个输入个输入ABC,一个工作模式控制变量,一个工作模式控制变量M;当;当M=0时,电路时,电路实现实现“意见一致意见一致”功能;当功能;当M=1时,电路实现时,电路实现“多数表决功能多数表决功能” 解:解:根据题意得到真值表根据题意得到真值表利用卡诺图进行化利用卡诺图进行化简得到表达式:简得到表达式:X2X2X1X1X0X0Z2Z2Z1Z1Z0Z00 00 00 00 00 00 00 00 01 10 00 00 00 01 10 00 00 00 00 01 11 11 10 00 01 10 00 01 10 01 11 10 01 11 11 10 01 11 10 01 11 11 11 11 11 10 01 11 1第 50 页例:设例:设X,Z均为均为3位二进制数,位二进制数,X为输入、为输入、Z为输出,要求为输出,要求两者有如下关系:当两者有如下关系:当3x6时,时,z=x+1;当;当x6时,时,Z=3; 试用一片试用一片3线线-8线译码器构成实现上线译码器构成实现上述要求的逻辑电路。述要求的逻辑电路。 解:解:根据题意得到真值表根据题意得到真值表图略图略第 51 页例:例:TTL或非门组成的电路如图所示。或非门组成的电路如图所示。1分析电路在什么时刻可能出现冒险现象?分析电路在什么时刻可能出现冒险现象?2用增加冗余项的方法来消除冒险,电路应该怎样修改?用增加冗余项的方法来消除冒险,电路应该怎样修改?解:解:写出逻辑表达式写出逻辑表达式 从表达式可清楚看到,当从表达式可清楚看到,当AB两者至少有一个两者至少有一个0,且且D=0时,表达式将成为:时,表达式将成为: 从而出现竞争冒险。从而出现竞争冒险。 可在电路最后的或非门添可在电路最后的或非门添加一项:加一项: 第五章习题第五章习题第 52 页第 53 页例:例:逻辑电路如图所示。画出逻辑电路如图所示。画出Q0和和Q1的波形,设初态为的波形,设初态为0第 54 页例:例:逻辑电路如图所示。画出逻辑电路如图所示。画出Q0和和Q1的波形,设初态为的波形,设初态为0第 55 页例:写出如图所示的触发器的特征方程例:写出如图所示的触发器的特征方程,此电路完成的是此电路完成的是哪一种触发器的逻辑功能?哪一种触发器的逻辑功能? 解:解:同同T触发器的特征方程相比较,此即为触发器的特征方程相比较,此即为T触发器触发器 第 56 页例:一种特殊的同步例:一种特殊的同步R-S触发器如图所示。触发器如图所示。(1)列出状态转换列出状态转换真值表。真值表。(2)写出次态方程。写出次态方程。(3)R与与S是否需要约束条件?是否需要约束条件?解:解:该电路分为该电路分为2级,后一级,后一级为由与非门构成的低电平级为由与非门构成的低电平有效的简单有效的简单RS触发器,触发器,G为为置位端、置位端、P为复位端。为复位端。根据题意列出状态转根据题意列出状态转换真值表换真值表第 57 页利用卡诺图进行化简利用卡诺图进行化简特性方程:特性方程:RS无需约束条件无需约束条件第 58 页例:试画出例:试画出JK触发器转换成触发器转换成AB触发器的逻辑图。触发器的逻辑图。AB触发触发器的功能表如图所示。器的功能表如图所示。解:解:先写出先写出AB触发器的特性方程触发器的特性方程与与JK触发器特性方程比对后有:触发器特性方程比对后有:第 59 页例:例:逻辑电路如图所示。画出逻辑电路如图所示。画出Q和和Z的波形,设初态为的波形,设初态为0解:解:第 60 页例:电路如图所示,试画出例:电路如图所示,试画出Q1、Q2在输入信号在输入信号CP、Rd和和D下的波形下的波形 。解:解:第 61 页例:由各种例:由各种TTL逻辑门组成如图所示电路。试分析图中各逻辑门组成如图所示电路。试分析图中各电路是否具有触发器的功能。电路是否具有触发器的功能。不具有不具有不具有不具有不具有不具有具有具有具有具有不具有不具有第 62 页例:边沿触发器组成的电路和输入波形如图所示,设初态例:边沿触发器组成的电路和输入波形如图所示,设初态为为0。试画出。试画出Q1Q0的波形的波形解:解:第 63 页例:电路和输入波形例:电路和输入波形CP、A 如图如图所示,设起始状态所示,设起始状态Q1Q0=00,试画出,试画出Q1、Q0、B、C 的波形。的波形。解:解:第 64 页例:一逻辑电路如图所示,试画出时序电路部分的状态图,例:一逻辑电路如图所示,试画出时序电路部分的状态图,并画出在并画出在CP作用下作用下24译码器译码器74LS139输出输出Y 0、Y1、Y 2、Y 3的波形,设的波形,设Q1、Q0的初态为的初态为0解:解:首先作出首先作出Q1Q0的状态转换图。的状态转换图。第 65 页第六章习题第六章习题第 66 页第 67 页例:分析图所示电路,画出在例:分析图所示电路,画出在5个时钟个时钟CP作用下作用下Q1Q2的时的时序图。根据电路的组成及连接直接判断出电路的功能序图。根据电路的组成及连接直接判断出电路的功能异步异步4进制减计数器进制减计数器第 68 页例:分析该电路为几进制计数器?画出电路的状态转换图例:分析该电路为几进制计数器?画出电路的状态转换图 解:解:写激励方程、输出方程,并结合特性方程求解状态方程写激励方程、输出方程,并结合特性方程求解状态方程 第 69 页得出状态转换表得出状态转换表 同步同步5进制计数器进制计数器 转换转换次序次序现态现态次态次态S0000001S1001010S2010011S3011100S4100000第 70 页例:异步计数电路。分析电路为几进制计数器,画出电路例:异步计数电路。分析电路为几进制计数器,画出电路的状态转换图的状态转换图 解:解:写激励方程、输出方程,并结合特性方程求解状态写激励方程、输出方程,并结合特性方程求解状态方程,并列写时钟方程。方程,并列写时钟方程。 第 71 页得出状态转换表得出状态转换表 000111111XX011011110110XX010010100100XX000CP3CP2CP1异步异步6进制计数器,能自启动进制计数器,能自启动 第 72 页例:设计一个同步例:设计一个同步7进制加法计数器。进制加法计数器。解:解:由题意可得状态转换图由题意可得状态转换图状态编码,得出状态转换真值表状态编码,得出状态转换真值表S0S1S2S3S4S5S600000011000011S60011101S50101001S40001110S30110010S20010100S10100000S0Y次态次态现态现态转换转换次序次序第 73 页选择选择JK触发器,利用卡诺图化简求得驱动方程和输出方程触发器,利用卡诺图化简求得驱动方程和输出方程F0000101110101110101110K0J0F1101110000101011110000K1J1第 74 页F2101011011110000000000K2J2Y1011010100010110001001000000Y第 75 页化简后得到:化简后得到:检查检查111,1CP后进入后进入000,电路具有,电路具有自启动能力自启动能力第 76 页例:用例:用JK触发器设计一个能产生如图所示波形的同步时序触发器设计一个能产生如图所示波形的同步时序逻辑电路,不得使用其它门电路。要求:给出设计过程,逻辑电路,不得使用其它门电路。要求:给出设计过程,检查自启动,画出逻辑图,包括进位输出。检查自启动,画出逻辑图,包括进位输出。解:解:由波形图可得状态转换图由波形图可得状态转换图第 77 页得出状态转换真值表得出状态转换真值表结合真值表和特性方程求激励方程结合真值表和特性方程求激励方程能自启动能自启动第 78 页例:试用例:试用74161和数据选择器构成一个和数据选择器构成一个01100011序列发生器序列发生器第 79 页例:用十六进制同步加法计数器例:用十六进制同步加法计数器74161设计能自启动的设计能自启动的2421BCD码十进制加法计数器,可用必要的门电路。码十进制加法计数器,可用必要的门电路。解:解:2421码状态转换图码状态转换图计数至计数至4时进行置数时进行置数1101第 80 页例:用中规模集成计数器例:用中规模集成计数器74LS161构成初始状态为构成初始状态为0010的的七进制计数器七进制计数器解:解:状态转换图状态转换图第 81 页例:试分析如图所示电路的逻辑功能。图中例:试分析如图所示电路的逻辑功能。图中74LS160为十为十进制同步加法计数器,其功能如表所示。进制同步加法计数器,其功能如表所示。解:解:28进进制加计数制加计数器器第 82 页例:由四位二进制计数器例:由四位二进制计数器74161及门电路组成的时序电路及门电路组成的时序电路如图所示。要求:(如图所示。要求:(1)分别列出)分别列出X=0和和X=1时的状态图;时的状态图;(2)指出该电路的功能。)指出该电路的功能。第 83 页(2)X=1时,电路为时,电路为5进制加计数器,状态转换图为:进制加计数器,状态转换图为:(1)X=0时,电路为时,电路为8进制加计数器,状态转换图为:进制加计数器,状态转换图为:解:解:第 84 页例:例:74163为为4位同步清零二进制计数器,试分析下图为几位同步清零二进制计数器,试分析下图为几进制计数器。进制计数器。解:解:从从0101计数到计数到1100,同步清零,共,同步清零,共8个稳定状个稳定状态,态,8进制计数器进制计数器第八章习题第八章习题第 85 页第 86 页例:由例:由555定时器构成的单稳态电路和其输入触发信号如图定时器构成的单稳态电路和其输入触发信号如图所示,试回答下列各问题:所示,试回答下列各问题: 解:解:555构成的如图单稳,利用负脉冲触发进入暂稳态。构成的如图单稳,利用负脉冲触发进入暂稳态。看哪个脉冲适合关键在于求解暂稳态的时间。看哪个脉冲适合关键在于求解暂稳态的时间。 tw=1.33300.1=36.3us第第2脉冲合适脉冲合适 (1)确定哪个适合作输入触发信号,并画出输出波形图。)确定哪个适合作输入触发信号,并画出输出波形图。(2)确定该电路的稳态持续时间为多少?)确定该电路的稳态持续时间为多少?第 87 页由图可知周期为由图可知周期为100us,则稳态时间为:,则稳态时间为:t1=100-36.3=63.7us第 88 页例:由例:由555定时器构成的多谐振荡器如图所示,图中定时器构成的多谐振荡器如图所示,图中VDD=12V,R1=10K。要求输出电压。要求输出电压VO的振荡频率为的振荡频率为25KHZ,占空比,占空比70%,试选择定时元件试选择定时元件R2和和C的数值的数值。 解:解:取取第 89 页例:由主从例:由主从JK触发器和触发器和555定时器组成的电路如图所示。已定时器组成的电路如图所示。已知知R1=10K,R2=56K,C1=1000PF,C2=4.7uF,CP为为10Hz的方的方波。触发器初态为波。触发器初态为1,555输出端初态为输出端初态为0。试。试(1)画出画出Q,UI,UO相对于相对于CP的波形。(的波形。(2)计算)计算Q端输出波形周期。端输出波形周期。第 90 页解:解:T=0.4s第 91 页例:由例:由555定时器构成的施密特触发器输入信号如图所示,定时器构成的施密特触发器输入信号如图所示,试画出输出波形。试画出输出波形。 第 92 页例:由例:由555定时器和定时器和74LS14组成如图所示电路,已知组成如图所示电路,已知74LS14的正向和负向阀值电压分别为的正向和负向阀值电压分别为1.7V和和0.9V,输出高,输出高低电平分别为低电平分别为3.6V和和0.3V,电路元件参数为,电路元件参数为R1=R2=10K,C1=C2=0.2uF,VR=3.6V。试回答。试回答:(1)74LS14和和R1,C1构成何种电路,并求其主要参数。构成何种电路,并求其主要参数。(2)555定时器构成何种电路,并求其主要参数。定时器构成何种电路,并求其主要参数。(3)说明)说明VR和和Rd,Cd的作用。的作用。 第 93 页解:解:(1)构成多谐振荡器。)构成多谐振荡器。T=2.4ms,q=29.3%。(2)构成单稳。)构成单稳。T=2.2ms。(3)VR保证稳态时触发输入为高电平,保证稳态时触发输入为高电平,Rd和和Cd将宽脉将宽脉冲变为窄脉冲,为冲变为窄脉冲,为1微分电路。微分电路。
收藏 下载该资源
网站客服QQ:2055934822
金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号